差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

两侧同时换到之前的修订记录 前一修订版
后一修订版
前一修订版
stepfpga_training_board [2020/03/26 13:22]
gongyu
stepfpga_training_board [2021/08/19 22:40] (当前版本)
gongyusu
行 1: 行 1:
-### 基于小脚丫FPGA的综合技能训练平台+## 基于小脚丫FPGA的综合技能训练平台
  
---- +### 平台简介:
-#### 平台简介:+
   * 能够通过R-2R阶梯网络生成0 - 2MHz的任意波形,包括正弦波、三角波、锯齿波、方波等,信号幅度为3Vpp;   * 能够通过R-2R阶梯网络生成0 - 2MHz的任意波形,包括正弦波、三角波、锯齿波、方波等,信号幅度为3Vpp;
   * 能够通过PWM生成0-20KHz的任意波形;   * 能够通过PWM生成0-20KHz的任意波形;
行 14: 行 13:
  
 --- ---
-###原理图+ 
 +### 原理图
 {{ :​steptrainingboard_sch.png |}} <WRAP centeralign>​ 基于小脚丫FPGA的综合技能训练板的原理图 </​WRAP>​ {{ :​steptrainingboard_sch.png |}} <WRAP centeralign>​ 基于小脚丫FPGA的综合技能训练板的原理图 </​WRAP>​
  
 --- ---
-###用于DDS信号源的DAC+ 
 +### 用于DDS信号源的DAC
 在本平台上有两种方式可以实现DAC的功能,见图中红色虚线标记的部分。 在本平台上有两种方式可以实现DAC的功能,见图中红色虚线标记的部分。
 {{ ::​steptrainingboarddac_sch.png |}} <WRAP centeralign>​ 综合技能训练板上的DAC功能 </​WRAP>​ {{ ::​steptrainingboarddac_sch.png |}} <WRAP centeralign>​ 综合技能训练板上的DAC功能 </​WRAP>​
行 25: 行 26:
  
 --- ---
-###FPGA编程的管脚分配文件+ 
 +### FPGA编程的管脚分配文件
  
 1 使用基于Lattice XO2-4000HC的小脚丫FPGA,​ 使用[[diamond]]工具 1 使用基于Lattice XO2-4000HC的小脚丫FPGA,​ 使用[[diamond]]工具
行 82: 行 84:
 ^R14 |Pin 18 |Beeper | ^R14 |Pin 18 |Beeper |
  
-###文档下载+### 文档下载
 <WRAP center round download 60%> ​ <WRAP center round download 60%> ​
   - {{:​steptrainingboardsch.pdf|基于小脚丫FPGA的综合技能训练板的原理图PDF文件下载}} ​   - {{:​steptrainingboardsch.pdf|基于小脚丫FPGA的综合技能训练板的原理图PDF文件下载}} ​
   - {{:​stepfpgatrainingboardmanual.pdf|小脚丫FPGA综合技能训练板功能说明}}   - {{:​stepfpgatrainingboardmanual.pdf|小脚丫FPGA综合技能训练板功能说明}}
-  - {{:trainingboardpinoutxo2ldf.zip|Lattice XO2-4000HC版本小脚丫的管脚分配文件,用于Diamond编译软件}}+  - {{:trainingboardpinoutxo2.zip|Lattice XO2-4000HC版本小脚丫的管脚分配文件,只用于DDS信号源部分的管脚,用于Diamond编译软件}}
   - {{:​trainingboardpinoutmax10tcl.zip|Intel MAX10M02/​08版本小脚丫的管脚分配文件,用于Quartus编译软件}}   - {{:​trainingboardpinoutmax10tcl.zip|Intel MAX10M02/​08版本小脚丫的管脚分配文件,用于Quartus编译软件}}
 </​WRAP>​ </​WRAP>​
  
-#### Trainning Code +### 6 应用案例 
-[[StepTrainingBoardDDSACode|基于小脚丫FPGA的DDS训练代码]]+  * [[led_display_verilog|LED的显示]] 
 +  * [[traffic_light_verilog|使用状态机控制交通灯]] 
 +  * [[oled_spi_verilog|OLED图形化显示/​SPI接口]] 
 +  * [[dds_verilog|DDS产生任意波形]] 
 +  * [[voltage_meter_sadc_verilog|使用串行ADC的电压计]] 
 +  * [[temp_sensor_verilog|温度传感器数据采集及显示]] 
 +  * [[pwm_music_verilog|PWM音乐播放]] 
 +  * [[dds_awg_open_platform|Labview控制的任意信号发生器]] 
 +  * [[StepTrainingBoardDDSACode|基于小脚丫FPGA的DDS训练代码]]