module16.fpgar2r.png

FPGA R2R构成的DDS AWG的功能框图

FPGA R2R构成的DDS AWG的连接关系图

FPGA R2R构成的DDS AWG的连接关系图

FPGA R2R构成的DDS AWG的原理图

FPGA R2R构成的DDS AWG的原理图

  • 由Lattice XO2-1200HC FPGA + R2R DAC构成
  • R2R DAC可以工作在200Msps/12bits
  • 通过FPGA编程可以生成正弦波、三角波、锯齿波等常用波形,以及任意波形(通过内部RAM存储)
  • 最高输出频率为10MHz,信号幅度最高设定为5Vpp,此两项参数可以通过调整输出模拟运放的型号以及电阻来调节
  • 信号的频率调节精度以及幅度调节都可以通过FPGA的内部逻辑实现