差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

两侧同时换到之前的修订记录 前一修订版
后一修订版
前一修订版
wifi_esp8266通信系统设计 [2019/04/12 02:15]
gongyu
wifi_esp8266通信系统设计 [2020/01/18 21:57] (当前版本)
gongyu
行 1: 行 1:
-###​WIFI_ESP8266通信系统设计+### WIFI_ESP8266通信系统设计
  
------ +--- 
-###​实验任务+ 
 +####​实验任务
  
   * 任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成WIFI_ESP8266通信系统设计并观察调试结果   * 任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成WIFI_ESP8266通信系统设计并观察调试结果
行 8: 行 9:
   * 解析:要通过ESP8266实现WIFI通信有多种方式,本实验采用方式:FPGA驱动ESP8266模块,将ESP8266配置成SoftAP模式同时配置成TCP Server,手机或电脑连接ESP8266的WIFI热点,网络调试助手TCP Client连接到ESP8266的TCP Server,并发送数据,FPGA读取ESP8266数据并处理,最后显示在8位数码管上。   * 解析:要通过ESP8266实现WIFI通信有多种方式,本实验采用方式:FPGA驱动ESP8266模块,将ESP8266配置成SoftAP模式同时配置成TCP Server,手机或电脑连接ESP8266的WIFI热点,网络调试助手TCP Client连接到ESP8266的TCP Server,并发送数据,FPGA读取ESP8266数据并处理,最后显示在8位数码管上。
  
-====实验目的====+#### 实验目的
  
 在前面串口监视系统设计实验中我们学习了UART总线的驱动原理及设计实现,本实验主要了解WIFI通信TCP协议,熟悉AT指令集,掌握ESP8266模块的配置方法,最终通过FPGA编程实现对ESP8266模块的配置应用。 在前面串口监视系统设计实验中我们学习了UART总线的驱动原理及设计实现,本实验主要了解WIFI通信TCP协议,熟悉AT指令集,掌握ESP8266模块的配置方法,最终通过FPGA编程实现对ESP8266模块的配置应用。
行 16: 行 17:
   * 完成WIFI_ESP8266通信系统设计实现   * 完成WIFI_ESP8266通信系统设计实现
  
-====设计框图====+#### 设计框图
  
 根据前面的实验解析我们可以得知,该设计可以拆分成以下功能模块实现, 根据前面的实验解析我们可以得知,该设计可以拆分成以下功能模块实现,
行 26: 行 27:
  
 {{:​14-Top-Down层次设计.png?​500|Top-Down层次设计}} {{:​14-模块结构设计.png?​500|模块结构设计}} {{:​14-Top-Down层次设计.png?​500|Top-Down层次设计}} {{:​14-模块结构设计.png?​500|模块结构设计}}
-====实验原理==== 
  
-===ESP8266模块介绍===+#### 实验原理 
 + 
 +##### ESP8266模块介绍
  
 ESP8266是ai-thinker公司推出的一款无线WIFI模块,可以通过配置,和单片机上的串口进行通信,利用WIFI传输数据。模块内部使用乐鑫推出的低功耗高集成度的WIFI芯片,ESP8266EX内置超低功耗32位RISK处理器,CPU最高时钟频率可达160Mhz,支持实时操作系统RTOS,和WIFI协议栈,可将高达80%的处理能力留给编程与开发。 ESP8266是ai-thinker公司推出的一款无线WIFI模块,可以通过配置,和单片机上的串口进行通信,利用WIFI传输数据。模块内部使用乐鑫推出的低功耗高集成度的WIFI芯片,ESP8266EX内置超低功耗32位RISK处理器,CPU最高时钟频率可达160Mhz,支持实时操作系统RTOS,和WIFI协议栈,可将高达80%的处理能力留给编程与开发。
  
-===ESP8266模块连接===+##### ESP8266模块连接
  
 STEP BaseBoard V3.0底板上的WIFI通信模块ESP8266-12F电路图如下: STEP BaseBoard V3.0底板上的WIFI通信模块ESP8266-12F电路图如下:
行 38: 行 40:
 {{:​14-ESP8266-12F电路连接.png?​800|ESP8266-12F电路连接}} ​ {{:​14-ESP8266-12F电路连接.png?​800|ESP8266-12F电路连接}} ​
  
-===ESP8266模块配置流程===+##### ESP8266模块配置流程
  
 (1)取下小脚丫底板,将Baseboard的GPIO29与GPIO26用杜邦线连接起来,将GPIO27与GPIO28连接起来,这样就实现了CP2102与ESP8266的互联。 (1)取下小脚丫底板,将Baseboard的GPIO29与GPIO26用杜邦线连接起来,将GPIO27与GPIO28连接起来,这样就实现了CP2102与ESP8266的互联。
行 98: 行 100:
 这样就完成了ESP8266的网络通讯。 这样就完成了ESP8266的网络通讯。
  
-===系统总体实现===+##### 系统总体实现
  
 本实验我们将ESP8266配置成SoftAP模式,同时配置成服务器,采用下表中的指令对ESP8266模块进行配置。 本实验我们将ESP8266配置成SoftAP模式,同时配置成服务器,采用下表中的指令对ESP8266模块进行配置。
行 303: 行 305:
 </​code>​ </​code>​
  
-====实验步骤====+#### 实验步骤
   - 双击打开Quartus Prime工具软件;   - 双击打开Quartus Prime工具软件;
   - 新建工程:File → New Project Wizard(工程命名,工程目录选择,设备型号选择,EDA工具选择);   - 新建工程:File → New Project Wizard(工程命名,工程目录选择,设备型号选择,EDA工具选择);
行 314: 行 316:
  
  
-====实验现象====+#### 实验现象
  
 将设计加载到FPGA,手机或电脑WIFI连接到STEP_FPGA网络上,打开网络调试助手配置成TCP Client连接TCP服务器:192.168.4.1,端口号:8686,发送0~9的阿拉伯数字,底板数码管就能显示出来,当一次发送超过8位数据,只显示后面的8位数据。例如,网络调试助手发送数据<​123>​,数码管显示123。 将设计加载到FPGA,手机或电脑WIFI连接到STEP_FPGA网络上,打开网络调试助手配置成TCP Client连接TCP服务器:192.168.4.1,端口号:8686,发送0~9的阿拉伯数字,底板数码管就能显示出来,当一次发送超过8位数据,只显示后面的8位数据。例如,网络调试助手发送数据<​123>​,数码管显示123。
  
 {{:​14-实验现象.png?​600|实验现象}} {{:​14-实验现象.png?​600|实验现象}}