10位地址、12位分辨率的正弦波表

module sin_table(address,sin);
output [11:0] sin;
input  [9:0]  address;
reg    [11:0] sin;

       always @(address)
              begin
                  case(address)	// synopsys parallel_case full_case
                      10'h0: sin=12'h0;
                      10'h1: sin=12'h3;
                      10'h2: sin=12'h6;
                      10'h3: sin=12'h9;
                      10'h4: sin=12'hd;
                      10'h5: sin=12'h10;
                      10'h6: sin=12'h13;
                      10'h7: sin=12'h16;
                      10'h8: sin=12'h19;
                      10'h9: sin=12'h1c;
                      10'ha: sin=12'h1f;
                      10'hb: sin=12'h23;
                      10'hc: sin=12'h26;
                      10'hd: sin=12'h29;
                      10'he: sin=12'h2c;
                      10'hf: sin=12'h2f;
                      10'h10: sin=12'h32;
                      10'h11: sin=12'h35;
                      10'h12: sin=12'h39;
                      10'h13: sin=12'h3c;
                      10'h14: sin=12'h3f;
                      10'h15: sin=12'h42;
                      10'h16: sin=12'h45;
                      10'h17: sin=12'h48;
                      10'h18: sin=12'h4b;
                      10'h19: sin=12'h4e;
                      10'h1a: sin=12'h52;
                      10'h1b: sin=12'h55;
                      10'h1c: sin=12'h58;
                      10'h1d: sin=12'h5b;
                      10'h1e: sin=12'h5e;
                      10'h1f: sin=12'h61;
                      10'h20: sin=12'h64;
                      10'h21: sin=12'h68;
                      10'h22: sin=12'h6b;
                      10'h23: sin=12'h6e;
                      10'h24: sin=12'h71;
                      10'h25: sin=12'h74;
                      10'h26: sin=12'h77;
                      10'h27: sin=12'h7a;
                      10'h28: sin=12'h7e;
                      10'h29: sin=12'h81;
                      10'h2a: sin=12'h84;
                      10'h2b: sin=12'h87;
                      10'h2c: sin=12'h8a;
                      10'h2d: sin=12'h8d;
                      10'h2e: sin=12'h90;
                      10'h2f: sin=12'h93;
                      10'h30: sin=12'h97;
                      10'h31: sin=12'h9a;
                      10'h32: sin=12'h9d;
                      10'h33: sin=12'ha0;
                      10'h34: sin=12'ha3;
                      10'h35: sin=12'ha6;
                      10'h36: sin=12'ha9;
                      10'h37: sin=12'hac;
                      10'h38: sin=12'hb0;
                      10'h39: sin=12'hb3;
                      10'h3a: sin=12'hb6;
                      10'h3b: sin=12'hb9;
                      10'h3c: sin=12'hbc;
                      10'h3d: sin=12'hbf;
                      10'h3e: sin=12'hc2;
                      10'h3f: sin=12'hc6;
                      10'h40: sin=12'hc9;
                      10'h41: sin=12'hcc;
                      10'h42: sin=12'hcf;
                      10'h43: sin=12'hd2;
                      10'h44: sin=12'hd5;
                      10'h45: sin=12'hd8;
                      10'h46: sin=12'hdb;
                      10'h47: sin=12'hdf;
                      10'h48: sin=12'he2;
                      10'h49: sin=12'he5;
                      10'h4a: sin=12'he8;
                      10'h4b: sin=12'heb;
                      10'h4c: sin=12'hee;
                      10'h4d: sin=12'hf1;
                      10'h4e: sin=12'hf4;
                      10'h4f: sin=12'hf7;
                      10'h50: sin=12'hfb;
                      10'h51: sin=12'hfe;
                      10'h52: sin=12'h101;
                      10'h53: sin=12'h104;
                      10'h54: sin=12'h107;
                      10'h55: sin=12'h10a;
                      10'h56: sin=12'h10d;
                      10'h57: sin=12'h110;
                      10'h58: sin=12'h113;
                      10'h59: sin=12'h117;
                      10'h5a: sin=12'h11a;
                      10'h5b: sin=12'h11d;
                      10'h5c: sin=12'h120;
                      10'h5d: sin=12'h123;
                      10'h5e: sin=12'h126;
                      10'h5f: sin=12'h129;
                      10'h60: sin=12'h12c;
                      10'h61: sin=12'h12f;
                      10'h62: sin=12'h133;
                      10'h63: sin=12'h136;
                      10'h64: sin=12'h139;
                      10'h65: sin=12'h13c;
                      10'h66: sin=12'h13f;
                      10'h67: sin=12'h142;
                      10'h68: sin=12'h145;
                      10'h69: sin=12'h148;
                      10'h6a: sin=12'h14b;
                      10'h6b: sin=12'h14e;
                      10'h6c: sin=12'h152;
                      10'h6d: sin=12'h155;
                      10'h6e: sin=12'h158;
                      10'h6f: sin=12'h15b;
                      10'h70: sin=12'h15e;
                      10'h71: sin=12'h161;
                      10'h72: sin=12'h164;
                      10'h73: sin=12'h167;
                      10'h74: sin=12'h16a;
                      10'h75: sin=12'h16d;
                      10'h76: sin=12'h171;
                      10'h77: sin=12'h174;
                      10'h78: sin=12'h177;
                      10'h79: sin=12'h17a;
                      10'h7a: sin=12'h17d;
                      10'h7b: sin=12'h180;
                      10'h7c: sin=12'h183;
                      10'h7d: sin=12'h186;
                      10'h7e: sin=12'h189;
                      10'h7f: sin=12'h18c;
                      10'h80: sin=12'h18f;
                      10'h81: sin=12'h192;
                      10'h82: sin=12'h196;
                      10'h83: sin=12'h199;
                      10'h84: sin=12'h19c;
                      10'h85: sin=12'h19f;
                      10'h86: sin=12'h1a2;
                      10'h87: sin=12'h1a5;
                      10'h88: sin=12'h1a8;
                      10'h89: sin=12'h1ab;
                      10'h8a: sin=12'h1ae;
                      10'h8b: sin=12'h1b1;
                      10'h8c: sin=12'h1b4;
                      10'h8d: sin=12'h1b7;
                      10'h8e: sin=12'h1ba;
                      10'h8f: sin=12'h1bd;
                      10'h90: sin=12'h1c1;
                      10'h91: sin=12'h1c4;
                      10'h92: sin=12'h1c7;
                      10'h93: sin=12'h1ca;
                      10'h94: sin=12'h1cd;
                      10'h95: sin=12'h1d0;
                      10'h96: sin=12'h1d3;
                      10'h97: sin=12'h1d6;
                      10'h98: sin=12'h1d9;
                      10'h99: sin=12'h1dc;
                      10'h9a: sin=12'h1df;
                      10'h9b: sin=12'h1e2;
                      10'h9c: sin=12'h1e5;
                      10'h9d: sin=12'h1e8;
                      10'h9e: sin=12'h1eb;
                      10'h9f: sin=12'h1ee;
                      10'ha0: sin=12'h1f1;
                      10'ha1: sin=12'h1f4;
                      10'ha2: sin=12'h1f7;
                      10'ha3: sin=12'h1fb;
                      10'ha4: sin=12'h1fe;
                      10'ha5: sin=12'h201;
                      10'ha6: sin=12'h204;
                      10'ha7: sin=12'h207;
                      10'ha8: sin=12'h20a;
                      10'ha9: sin=12'h20d;
                      10'haa: sin=12'h210;
                      10'hab: sin=12'h213;
                      10'hac: sin=12'h216;
                      10'had: sin=12'h219;
                      10'hae: sin=12'h21c;
                      10'haf: sin=12'h21f;
                      10'hb0: sin=12'h222;
                      10'hb1: sin=12'h225;
                      10'hb2: sin=12'h228;
                      10'hb3: sin=12'h22b;
                      10'hb4: sin=12'h22e;
                      10'hb5: sin=12'h231;
                      10'hb6: sin=12'h234;
                      10'hb7: sin=12'h237;
                      10'hb8: sin=12'h23a;
                      10'hb9: sin=12'h23d;
                      10'hba: sin=12'h240;
                      10'hbb: sin=12'h243;
                      10'hbc: sin=12'h246;
                      10'hbd: sin=12'h249;
                      10'hbe: sin=12'h24c;
                      10'hbf: sin=12'h24f;
                      10'hc0: sin=12'h252;
                      10'hc1: sin=12'h255;
                      10'hc2: sin=12'h258;
                      10'hc3: sin=12'h25b;
                      10'hc4: sin=12'h25e;
                      10'hc5: sin=12'h261;
                      10'hc6: sin=12'h264;
                      10'hc7: sin=12'h267;
                      10'hc8: sin=12'h26a;
                      10'hc9: sin=12'h26d;
                      10'hca: sin=12'h270;
                      10'hcb: sin=12'h273;
                      10'hcc: sin=12'h276;
                      10'hcd: sin=12'h279;
                      10'hce: sin=12'h27c;
                      10'hcf: sin=12'h27f;
                      10'hd0: sin=12'h282;
                      10'hd1: sin=12'h285;
                      10'hd2: sin=12'h288;
                      10'hd3: sin=12'h28b;
                      10'hd4: sin=12'h28e;
                      10'hd5: sin=12'h291;
                      10'hd6: sin=12'h294;
                      10'hd7: sin=12'h297;
                      10'hd8: sin=12'h29a;
                      10'hd9: sin=12'h29d;
                      10'hda: sin=12'h2a0;
                      10'hdb: sin=12'h2a3;
                      10'hdc: sin=12'h2a6;
                      10'hdd: sin=12'h2a9;
                      10'hde: sin=12'h2ac;
                      10'hdf: sin=12'h2af;
                      10'he0: sin=12'h2b2;
                      10'he1: sin=12'h2b5;
                      10'he2: sin=12'h2b8;
                      10'he3: sin=12'h2ba;
                      10'he4: sin=12'h2bd;
                      10'he5: sin=12'h2c0;
                      10'he6: sin=12'h2c3;
                      10'he7: sin=12'h2c6;
                      10'he8: sin=12'h2c9;
                      10'he9: sin=12'h2cc;
                      10'hea: sin=12'h2cf;
                      10'heb: sin=12'h2d2;
                      10'hec: sin=12'h2d5;
                      10'hed: sin=12'h2d8;
                      10'hee: sin=12'h2db;
                      10'hef: sin=12'h2de;
                      10'hf0: sin=12'h2e1;
                      10'hf1: sin=12'h2e4;
                      10'hf2: sin=12'h2e7;
                      10'hf3: sin=12'h2e9;
                      10'hf4: sin=12'h2ec;
                      10'hf5: sin=12'h2ef;
                      10'hf6: sin=12'h2f2;
                      10'hf7: sin=12'h2f5;
                      10'hf8: sin=12'h2f8;
                      10'hf9: sin=12'h2fb;
                      10'hfa: sin=12'h2fe;
                      10'hfb: sin=12'h301;
                      10'hfc: sin=12'h304;
                      10'hfd: sin=12'h307;
                      10'hfe: sin=12'h30a;
                      10'hff: sin=12'h30c;
                      10'h100: sin=12'h30f;
                      10'h101: sin=12'h312;
                      10'h102: sin=12'h315;
                      10'h103: sin=12'h318;
                      10'h104: sin=12'h31b;
                      10'h105: sin=12'h31e;
                      10'h106: sin=12'h321;
                      10'h107: sin=12'h324;
                      10'h108: sin=12'h327;
                      10'h109: sin=12'h329;
                      10'h10a: sin=12'h32c;
                      10'h10b: sin=12'h32f;
                      10'h10c: sin=12'h332;
                      10'h10d: sin=12'h335;
                      10'h10e: sin=12'h338;
                      10'h10f: sin=12'h33b;
                      10'h110: sin=12'h33e;
                      10'h111: sin=12'h340;
                      10'h112: sin=12'h343;
                      10'h113: sin=12'h346;
                      10'h114: sin=12'h349;
                      10'h115: sin=12'h34c;
                      10'h116: sin=12'h34f;
                      10'h117: sin=12'h352;
                      10'h118: sin=12'h354;
                      10'h119: sin=12'h357;
                      10'h11a: sin=12'h35a;
                      10'h11b: sin=12'h35d;
                      10'h11c: sin=12'h360;
                      10'h11d: sin=12'h363;
                      10'h11e: sin=12'h366;
                      10'h11f: sin=12'h368;
                      10'h120: sin=12'h36b;
                      10'h121: sin=12'h36e;
                      10'h122: sin=12'h371;
                      10'h123: sin=12'h374;
                      10'h124: sin=12'h377;
                      10'h125: sin=12'h379;
                      10'h126: sin=12'h37c;
                      10'h127: sin=12'h37f;
                      10'h128: sin=12'h382;
                      10'h129: sin=12'h385;
                      10'h12a: sin=12'h387;
                      10'h12b: sin=12'h38a;
                      10'h12c: sin=12'h38d;
                      10'h12d: sin=12'h390;
                      10'h12e: sin=12'h393;
                      10'h12f: sin=12'h396;
                      10'h130: sin=12'h398;
                      10'h131: sin=12'h39b;
                      10'h132: sin=12'h39e;
                      10'h133: sin=12'h3a1;
                      10'h134: sin=12'h3a4;
                      10'h135: sin=12'h3a6;
                      10'h136: sin=12'h3a9;
                      10'h137: sin=12'h3ac;
                      10'h138: sin=12'h3af;
                      10'h139: sin=12'h3b2;
                      10'h13a: sin=12'h3b4;
                      10'h13b: sin=12'h3b7;
                      10'h13c: sin=12'h3ba;
                      10'h13d: sin=12'h3bd;
                      10'h13e: sin=12'h3bf;
                      10'h13f: sin=12'h3c2;
                      10'h140: sin=12'h3c5;
                      10'h141: sin=12'h3c8;
                      10'h142: sin=12'h3ca;
                      10'h143: sin=12'h3cd;
                      10'h144: sin=12'h3d0;
                      10'h145: sin=12'h3d3;
                      10'h146: sin=12'h3d6;
                      10'h147: sin=12'h3d8;
                      10'h148: sin=12'h3db;
                      10'h149: sin=12'h3de;
                      10'h14a: sin=12'h3e1;
                      10'h14b: sin=12'h3e3;
                      10'h14c: sin=12'h3e6;
                      10'h14d: sin=12'h3e9;
                      10'h14e: sin=12'h3eb;
                      10'h14f: sin=12'h3ee;
                      10'h150: sin=12'h3f1;
                      10'h151: sin=12'h3f4;
                      10'h152: sin=12'h3f6;
                      10'h153: sin=12'h3f9;
                      10'h154: sin=12'h3fc;
                      10'h155: sin=12'h3ff;
                      10'h156: sin=12'h401;
                      10'h157: sin=12'h404;
                      10'h158: sin=12'h407;
                      10'h159: sin=12'h409;
                      10'h15a: sin=12'h40c;
                      10'h15b: sin=12'h40f;
                      10'h15c: sin=12'h412;
                      10'h15d: sin=12'h414;
                      10'h15e: sin=12'h417;
                      10'h15f: sin=12'h41a;
                      10'h160: sin=12'h41c;
                      10'h161: sin=12'h41f;
                      10'h162: sin=12'h422;
                      10'h163: sin=12'h424;
                      10'h164: sin=12'h427;
                      10'h165: sin=12'h42a;
                      10'h166: sin=12'h42c;
                      10'h167: sin=12'h42f;
                      10'h168: sin=12'h432;
                      10'h169: sin=12'h435;
                      10'h16a: sin=12'h437;
                      10'h16b: sin=12'h43a;
                      10'h16c: sin=12'h43d;
                      10'h16d: sin=12'h43f;
                      10'h16e: sin=12'h442;
                      10'h16f: sin=12'h444;
                      10'h170: sin=12'h447;
                      10'h171: sin=12'h44a;
                      10'h172: sin=12'h44c;
                      10'h173: sin=12'h44f;
                      10'h174: sin=12'h452;
                      10'h175: sin=12'h454;
                      10'h176: sin=12'h457;
                      10'h177: sin=12'h45a;
                      10'h178: sin=12'h45c;
                      10'h179: sin=12'h45f;
                      10'h17a: sin=12'h462;
                      10'h17b: sin=12'h464;
                      10'h17c: sin=12'h467;
                      10'h17d: sin=12'h469;
                      10'h17e: sin=12'h46c;
                      10'h17f: sin=12'h46f;
                      10'h180: sin=12'h471;
                      10'h181: sin=12'h474;
                      10'h182: sin=12'h476;
                      10'h183: sin=12'h479;
                      10'h184: sin=12'h47c;
                      10'h185: sin=12'h47e;
                      10'h186: sin=12'h481;
                      10'h187: sin=12'h483;
                      10'h188: sin=12'h486;
                      10'h189: sin=12'h489;
                      10'h18a: sin=12'h48b;
                      10'h18b: sin=12'h48e;
                      10'h18c: sin=12'h490;
                      10'h18d: sin=12'h493;
                      10'h18e: sin=12'h496;
                      10'h18f: sin=12'h498;
                      10'h190: sin=12'h49b;
                      10'h191: sin=12'h49d;
                      10'h192: sin=12'h4a0;
                      10'h193: sin=12'h4a2;
                      10'h194: sin=12'h4a5;
                      10'h195: sin=12'h4a7;
                      10'h196: sin=12'h4aa;
                      10'h197: sin=12'h4ad;
                      10'h198: sin=12'h4af;
                      10'h199: sin=12'h4b2;
                      10'h19a: sin=12'h4b4;
                      10'h19b: sin=12'h4b7;
                      10'h19c: sin=12'h4b9;
                      10'h19d: sin=12'h4bc;
                      10'h19e: sin=12'h4be;
                      10'h19f: sin=12'h4c1;
                      10'h1a0: sin=12'h4c3;
                      10'h1a1: sin=12'h4c6;
                      10'h1a2: sin=12'h4c8;
                      10'h1a3: sin=12'h4cb;
                      10'h1a4: sin=12'h4cd;
                      10'h1a5: sin=12'h4d0;
                      10'h1a6: sin=12'h4d2;
                      10'h1a7: sin=12'h4d5;
                      10'h1a8: sin=12'h4d7;
                      10'h1a9: sin=12'h4da;
                      10'h1aa: sin=12'h4dc;
                      10'h1ab: sin=12'h4df;
                      10'h1ac: sin=12'h4e1;
                      10'h1ad: sin=12'h4e4;
                      10'h1ae: sin=12'h4e6;
                      10'h1af: sin=12'h4e9;
                      10'h1b0: sin=12'h4eb;
                      10'h1b1: sin=12'h4ee;
                      10'h1b2: sin=12'h4f0;
                      10'h1b3: sin=12'h4f3;
                      10'h1b4: sin=12'h4f5;
                      10'h1b5: sin=12'h4f8;
                      10'h1b6: sin=12'h4fa;
                      10'h1b7: sin=12'h4fd;
                      10'h1b8: sin=12'h4ff;
                      10'h1b9: sin=12'h502;
                      10'h1ba: sin=12'h504;
                      10'h1bb: sin=12'h506;
                      10'h1bc: sin=12'h509;
                      10'h1bd: sin=12'h50b;
                      10'h1be: sin=12'h50e;
                      10'h1bf: sin=12'h510;
                      10'h1c0: sin=12'h513;
                      10'h1c1: sin=12'h515;
                      10'h1c2: sin=12'h517;
                      10'h1c3: sin=12'h51a;
                      10'h1c4: sin=12'h51c;
                      10'h1c5: sin=12'h51f;
                      10'h1c6: sin=12'h521;
                      10'h1c7: sin=12'h524;
                      10'h1c8: sin=12'h526;
                      10'h1c9: sin=12'h528;
                      10'h1ca: sin=12'h52b;
                      10'h1cb: sin=12'h52d;
                      10'h1cc: sin=12'h530;
                      10'h1cd: sin=12'h532;
                      10'h1ce: sin=12'h534;
                      10'h1cf: sin=12'h537;
                      10'h1d0: sin=12'h539;
                      10'h1d1: sin=12'h53b;
                      10'h1d2: sin=12'h53e;
                      10'h1d3: sin=12'h540;
                      10'h1d4: sin=12'h543;
                      10'h1d5: sin=12'h545;
                      10'h1d6: sin=12'h547;
                      10'h1d7: sin=12'h54a;
                      10'h1d8: sin=12'h54c;
                      10'h1d9: sin=12'h54e;
                      10'h1da: sin=12'h551;
                      10'h1db: sin=12'h553;
                      10'h1dc: sin=12'h555;
                      10'h1dd: sin=12'h558;
                      10'h1de: sin=12'h55a;
                      10'h1df: sin=12'h55c;
                      10'h1e0: sin=12'h55f;
                      10'h1e1: sin=12'h561;
                      10'h1e2: sin=12'h563;
                      10'h1e3: sin=12'h566;
                      10'h1e4: sin=12'h568;
                      10'h1e5: sin=12'h56a;
                      10'h1e6: sin=12'h56d;
                      10'h1e7: sin=12'h56f;
                      10'h1e8: sin=12'h571;
                      10'h1e9: sin=12'h573;
                      10'h1ea: sin=12'h576;
                      10'h1eb: sin=12'h578;
                      10'h1ec: sin=12'h57a;
                      10'h1ed: sin=12'h57d;
                      10'h1ee: sin=12'h57f;
                      10'h1ef: sin=12'h581;
                      10'h1f0: sin=12'h583;
                      10'h1f1: sin=12'h586;
                      10'h1f2: sin=12'h588;
                      10'h1f3: sin=12'h58a;
                      10'h1f4: sin=12'h58d;
                      10'h1f5: sin=12'h58f;
                      10'h1f6: sin=12'h591;
                      10'h1f7: sin=12'h593;
                      10'h1f8: sin=12'h596;
                      10'h1f9: sin=12'h598;
                      10'h1fa: sin=12'h59a;
                      10'h1fb: sin=12'h59c;
                      10'h1fc: sin=12'h59f;
                      10'h1fd: sin=12'h5a1;
                      10'h1fe: sin=12'h5a3;
                      10'h1ff: sin=12'h5a5;
                      10'h200: sin=12'h5a7;
                      10'h201: sin=12'h5aa;
                      10'h202: sin=12'h5ac;
                      10'h203: sin=12'h5ae;
                      10'h204: sin=12'h5b0;
                      10'h205: sin=12'h5b3;
                      10'h206: sin=12'h5b5;
                      10'h207: sin=12'h5b7;
                      10'h208: sin=12'h5b9;
                      10'h209: sin=12'h5bb;
                      10'h20a: sin=12'h5bd;
                      10'h20b: sin=12'h5c0;
                      10'h20c: sin=12'h5c2;
                      10'h20d: sin=12'h5c4;
                      10'h20e: sin=12'h5c6;
                      10'h20f: sin=12'h5c8;
                      10'h210: sin=12'h5cb;
                      10'h211: sin=12'h5cd;
                      10'h212: sin=12'h5cf;
                      10'h213: sin=12'h5d1;
                      10'h214: sin=12'h5d3;
                      10'h215: sin=12'h5d5;
                      10'h216: sin=12'h5d7;
                      10'h217: sin=12'h5da;
                      10'h218: sin=12'h5dc;
                      10'h219: sin=12'h5de;
                      10'h21a: sin=12'h5e0;
                      10'h21b: sin=12'h5e2;
                      10'h21c: sin=12'h5e4;
                      10'h21d: sin=12'h5e6;
                      10'h21e: sin=12'h5e9;
                      10'h21f: sin=12'h5eb;
                      10'h220: sin=12'h5ed;
                      10'h221: sin=12'h5ef;
                      10'h222: sin=12'h5f1;
                      10'h223: sin=12'h5f3;
                      10'h224: sin=12'h5f5;
                      10'h225: sin=12'h5f7;
                      10'h226: sin=12'h5f9;
                      10'h227: sin=12'h5fb;
                      10'h228: sin=12'h5fd;
                      10'h229: sin=12'h600;
                      10'h22a: sin=12'h602;
                      10'h22b: sin=12'h604;
                      10'h22c: sin=12'h606;
                      10'h22d: sin=12'h608;
                      10'h22e: sin=12'h60a;
                      10'h22f: sin=12'h60c;
                      10'h230: sin=12'h60e;
                      10'h231: sin=12'h610;
                      10'h232: sin=12'h612;
                      10'h233: sin=12'h614;
                      10'h234: sin=12'h616;
                      10'h235: sin=12'h618;
                      10'h236: sin=12'h61a;
                      10'h237: sin=12'h61c;
                      10'h238: sin=12'h61e;
                      10'h239: sin=12'h620;
                      10'h23a: sin=12'h622;
                      10'h23b: sin=12'h624;
                      10'h23c: sin=12'h626;
                      10'h23d: sin=12'h628;
                      10'h23e: sin=12'h62a;
                      10'h23f: sin=12'h62c;
                      10'h240: sin=12'h62e;
                      10'h241: sin=12'h630;
                      10'h242: sin=12'h632;
                      10'h243: sin=12'h634;
                      10'h244: sin=12'h636;
                      10'h245: sin=12'h638;
                      10'h246: sin=12'h63a;
                      10'h247: sin=12'h63c;
                      10'h248: sin=12'h63e;
                      10'h249: sin=12'h640;
                      10'h24a: sin=12'h642;
                      10'h24b: sin=12'h644;
                      10'h24c: sin=12'h646;
                      10'h24d: sin=12'h648;
                      10'h24e: sin=12'h64a;
                      10'h24f: sin=12'h64c;
                      10'h250: sin=12'h64e;
                      10'h251: sin=12'h650;
                      10'h252: sin=12'h652;
                      10'h253: sin=12'h654;
                      10'h254: sin=12'h655;
                      10'h255: sin=12'h657;
                      10'h256: sin=12'h659;
                      10'h257: sin=12'h65b;
                      10'h258: sin=12'h65d;
                      10'h259: sin=12'h65f;
                      10'h25a: sin=12'h661;
                      10'h25b: sin=12'h663;
                      10'h25c: sin=12'h665;
                      10'h25d: sin=12'h667;
                      10'h25e: sin=12'h668;
                      10'h25f: sin=12'h66a;
                      10'h260: sin=12'h66c;
                      10'h261: sin=12'h66e;
                      10'h262: sin=12'h670;
                      10'h263: sin=12'h672;
                      10'h264: sin=12'h674;
                      10'h265: sin=12'h675;
                      10'h266: sin=12'h677;
                      10'h267: sin=12'h679;
                      10'h268: sin=12'h67b;
                      10'h269: sin=12'h67d;
                      10'h26a: sin=12'h67f;
                      10'h26b: sin=12'h681;
                      10'h26c: sin=12'h682;
                      10'h26d: sin=12'h684;
                      10'h26e: sin=12'h686;
                      10'h26f: sin=12'h688;
                      10'h270: sin=12'h68a;
                      10'h271: sin=12'h68b;
                      10'h272: sin=12'h68d;
                      10'h273: sin=12'h68f;
                      10'h274: sin=12'h691;
                      10'h275: sin=12'h693;
                      10'h276: sin=12'h694;
                      10'h277: sin=12'h696;
                      10'h278: sin=12'h698;
                      10'h279: sin=12'h69a;
                      10'h27a: sin=12'h69b;
                      10'h27b: sin=12'h69d;
                      10'h27c: sin=12'h69f;
                      10'h27d: sin=12'h6a1;
                      10'h27e: sin=12'h6a3;
                      10'h27f: sin=12'h6a4;
                      10'h280: sin=12'h6a6;
                      10'h281: sin=12'h6a8;
                      10'h282: sin=12'h6a9;
                      10'h283: sin=12'h6ab;
                      10'h284: sin=12'h6ad;
                      10'h285: sin=12'h6af;
                      10'h286: sin=12'h6b0;
                      10'h287: sin=12'h6b2;
                      10'h288: sin=12'h6b4;
                      10'h289: sin=12'h6b6;
                      10'h28a: sin=12'h6b7;
                      10'h28b: sin=12'h6b9;
                      10'h28c: sin=12'h6bb;
                      10'h28d: sin=12'h6bc;
                      10'h28e: sin=12'h6be;
                      10'h28f: sin=12'h6c0;
                      10'h290: sin=12'h6c1;
                      10'h291: sin=12'h6c3;
                      10'h292: sin=12'h6c5;
                      10'h293: sin=12'h6c6;
                      10'h294: sin=12'h6c8;
                      10'h295: sin=12'h6ca;
                      10'h296: sin=12'h6cb;
                      10'h297: sin=12'h6cd;
                      10'h298: sin=12'h6cf;
                      10'h299: sin=12'h6d0;
                      10'h29a: sin=12'h6d2;
                      10'h29b: sin=12'h6d4;
                      10'h29c: sin=12'h6d5;
                      10'h29d: sin=12'h6d7;
                      10'h29e: sin=12'h6d9;
                      10'h29f: sin=12'h6da;
                      10'h2a0: sin=12'h6dc;
                      10'h2a1: sin=12'h6dd;
                      10'h2a2: sin=12'h6df;
                      10'h2a3: sin=12'h6e1;
                      10'h2a4: sin=12'h6e2;
                      10'h2a5: sin=12'h6e4;
                      10'h2a6: sin=12'h6e5;
                      10'h2a7: sin=12'h6e7;
                      10'h2a8: sin=12'h6e9;
                      10'h2a9: sin=12'h6ea;
                      10'h2aa: sin=12'h6ec;
                      10'h2ab: sin=12'h6ed;
                      10'h2ac: sin=12'h6ef;
                      10'h2ad: sin=12'h6f0;
                      10'h2ae: sin=12'h6f2;
                      10'h2af: sin=12'h6f4;
                      10'h2b0: sin=12'h6f5;
                      10'h2b1: sin=12'h6f7;
                      10'h2b2: sin=12'h6f8;
                      10'h2b3: sin=12'h6fa;
                      10'h2b4: sin=12'h6fb;
                      10'h2b5: sin=12'h6fd;
                      10'h2b6: sin=12'h6fe;
                      10'h2b7: sin=12'h700;
                      10'h2b8: sin=12'h701;
                      10'h2b9: sin=12'h703;
                      10'h2ba: sin=12'h704;
                      10'h2bb: sin=12'h706;
                      10'h2bc: sin=12'h707;
                      10'h2bd: sin=12'h709;
                      10'h2be: sin=12'h70a;
                      10'h2bf: sin=12'h70c;
                      10'h2c0: sin=12'h70d;
                      10'h2c1: sin=12'h70f;
                      10'h2c2: sin=12'h710;
                      10'h2c3: sin=12'h712;
                      10'h2c4: sin=12'h713;
                      10'h2c5: sin=12'h715;
                      10'h2c6: sin=12'h716;
                      10'h2c7: sin=12'h718;
                      10'h2c8: sin=12'h719;
                      10'h2c9: sin=12'h71a;
                      10'h2ca: sin=12'h71c;
                      10'h2cb: sin=12'h71d;
                      10'h2cc: sin=12'h71f;
                      10'h2cd: sin=12'h720;
                      10'h2ce: sin=12'h722;
                      10'h2cf: sin=12'h723;
                      10'h2d0: sin=12'h724;
                      10'h2d1: sin=12'h726;
                      10'h2d2: sin=12'h727;
                      10'h2d3: sin=12'h729;
                      10'h2d4: sin=12'h72a;
                      10'h2d5: sin=12'h72b;
                      10'h2d6: sin=12'h72d;
                      10'h2d7: sin=12'h72e;
                      10'h2d8: sin=12'h730;
                      10'h2d9: sin=12'h731;
                      10'h2da: sin=12'h732;
                      10'h2db: sin=12'h734;
                      10'h2dc: sin=12'h735;
                      10'h2dd: sin=12'h736;
                      10'h2de: sin=12'h738;
                      10'h2df: sin=12'h739;
                      10'h2e0: sin=12'h73a;
                      10'h2e1: sin=12'h73c;
                      10'h2e2: sin=12'h73d;
                      10'h2e3: sin=12'h73e;
                      10'h2e4: sin=12'h740;
                      10'h2e5: sin=12'h741;
                      10'h2e6: sin=12'h742;
                      10'h2e7: sin=12'h744;
                      10'h2e8: sin=12'h745;
                      10'h2e9: sin=12'h746;
                      10'h2ea: sin=12'h748;
                      10'h2eb: sin=12'h749;
                      10'h2ec: sin=12'h74a;
                      10'h2ed: sin=12'h74c;
                      10'h2ee: sin=12'h74d;
                      10'h2ef: sin=12'h74e;
                      10'h2f0: sin=12'h74f;
                      10'h2f1: sin=12'h751;
                      10'h2f2: sin=12'h752;
                      10'h2f3: sin=12'h753;
                      10'h2f4: sin=12'h754;
                      10'h2f5: sin=12'h756;
                      10'h2f6: sin=12'h757;
                      10'h2f7: sin=12'h758;
                      10'h2f8: sin=12'h759;
                      10'h2f9: sin=12'h75b;
                      10'h2fa: sin=12'h75c;
                      10'h2fb: sin=12'h75d;
                      10'h2fc: sin=12'h75e;
                      10'h2fd: sin=12'h760;
                      10'h2fe: sin=12'h761;
                      10'h2ff: sin=12'h762;
                      10'h300: sin=12'h763;
                      10'h301: sin=12'h764;
                      10'h302: sin=12'h766;
                      10'h303: sin=12'h767;
                      10'h304: sin=12'h768;
                      10'h305: sin=12'h769;
                      10'h306: sin=12'h76a;
                      10'h307: sin=12'h76b;
                      10'h308: sin=12'h76d;
                      10'h309: sin=12'h76e;
                      10'h30a: sin=12'h76f;
                      10'h30b: sin=12'h770;
                      10'h30c: sin=12'h771;
                      10'h30d: sin=12'h772;
                      10'h30e: sin=12'h774;
                      10'h30f: sin=12'h775;
                      10'h310: sin=12'h776;
                      10'h311: sin=12'h777;
                      10'h312: sin=12'h778;
                      10'h313: sin=12'h779;
                      10'h314: sin=12'h77a;
                      10'h315: sin=12'h77b;
                      10'h316: sin=12'h77d;
                      10'h317: sin=12'h77e;
                      10'h318: sin=12'h77f;
                      10'h319: sin=12'h780;
                      10'h31a: sin=12'h781;
                      10'h31b: sin=12'h782;
                      10'h31c: sin=12'h783;
                      10'h31d: sin=12'h784;
                      10'h31e: sin=12'h785;
                      10'h31f: sin=12'h786;
                      10'h320: sin=12'h787;
                      10'h321: sin=12'h788;
                      10'h322: sin=12'h789;
                      10'h323: sin=12'h78a;
                      10'h324: sin=12'h78c;
                      10'h325: sin=12'h78d;
                      10'h326: sin=12'h78e;
                      10'h327: sin=12'h78f;
                      10'h328: sin=12'h790;
                      10'h329: sin=12'h791;
                      10'h32a: sin=12'h792;
                      10'h32b: sin=12'h793;
                      10'h32c: sin=12'h794;
                      10'h32d: sin=12'h795;
                      10'h32e: sin=12'h796;
                      10'h32f: sin=12'h797;
                      10'h330: sin=12'h798;
                      10'h331: sin=12'h799;
                      10'h332: sin=12'h79a;
                      10'h333: sin=12'h79b;
                      10'h334: sin=12'h79c;
                      10'h335: sin=12'h79d;
                      10'h336: sin=12'h79e;
                      10'h337: sin=12'h79e;
                      10'h338: sin=12'h79f;
                      10'h339: sin=12'h7a0;
                      10'h33a: sin=12'h7a1;
                      10'h33b: sin=12'h7a2;
                      10'h33c: sin=12'h7a3;
                      10'h33d: sin=12'h7a4;
                      10'h33e: sin=12'h7a5;
                      10'h33f: sin=12'h7a6;
                      10'h340: sin=12'h7a7;
                      10'h341: sin=12'h7a8;
                      10'h342: sin=12'h7a9;
                      10'h343: sin=12'h7aa;
                      10'h344: sin=12'h7aa;
                      10'h345: sin=12'h7ab;
                      10'h346: sin=12'h7ac;
                      10'h347: sin=12'h7ad;
                      10'h348: sin=12'h7ae;
                      10'h349: sin=12'h7af;
                      10'h34a: sin=12'h7b0;
                      10'h34b: sin=12'h7b1;
                      10'h34c: sin=12'h7b1;
                      10'h34d: sin=12'h7b2;
                      10'h34e: sin=12'h7b3;
                      10'h34f: sin=12'h7b4;
                      10'h350: sin=12'h7b5;
                      10'h351: sin=12'h7b6;
                      10'h352: sin=12'h7b7;
                      10'h353: sin=12'h7b7;
                      10'h354: sin=12'h7b8;
                      10'h355: sin=12'h7b9;
                      10'h356: sin=12'h7ba;
                      10'h357: sin=12'h7bb;
                      10'h358: sin=12'h7bb;
                      10'h359: sin=12'h7bc;
                      10'h35a: sin=12'h7bd;
                      10'h35b: sin=12'h7be;
                      10'h35c: sin=12'h7bf;
                      10'h35d: sin=12'h7bf;
                      10'h35e: sin=12'h7c0;
                      10'h35f: sin=12'h7c1;
                      10'h360: sin=12'h7c2;
                      10'h361: sin=12'h7c2;
                      10'h362: sin=12'h7c3;
                      10'h363: sin=12'h7c4;
                      10'h364: sin=12'h7c5;
                      10'h365: sin=12'h7c5;
                      10'h366: sin=12'h7c6;
                      10'h367: sin=12'h7c7;
                      10'h368: sin=12'h7c8;
                      10'h369: sin=12'h7c8;
                      10'h36a: sin=12'h7c9;
                      10'h36b: sin=12'h7ca;
                      10'h36c: sin=12'h7ca;
                      10'h36d: sin=12'h7cb;
                      10'h36e: sin=12'h7cc;
                      10'h36f: sin=12'h7cd;
                      10'h370: sin=12'h7cd;
                      10'h371: sin=12'h7ce;
                      10'h372: sin=12'h7cf;
                      10'h373: sin=12'h7cf;
                      10'h374: sin=12'h7d0;
                      10'h375: sin=12'h7d1;
                      10'h376: sin=12'h7d1;
                      10'h377: sin=12'h7d2;
                      10'h378: sin=12'h7d3;
                      10'h379: sin=12'h7d3;
                      10'h37a: sin=12'h7d4;
                      10'h37b: sin=12'h7d5;
                      10'h37c: sin=12'h7d5;
                      10'h37d: sin=12'h7d6;
                      10'h37e: sin=12'h7d6;
                      10'h37f: sin=12'h7d7;
                      10'h380: sin=12'h7d8;
                      10'h381: sin=12'h7d8;
                      10'h382: sin=12'h7d9;
                      10'h383: sin=12'h7d9;
                      10'h384: sin=12'h7da;
                      10'h385: sin=12'h7db;
                      10'h386: sin=12'h7db;
                      10'h387: sin=12'h7dc;
                      10'h388: sin=12'h7dc;
                      10'h389: sin=12'h7dd;
                      10'h38a: sin=12'h7de;
                      10'h38b: sin=12'h7de;
                      10'h38c: sin=12'h7df;
                      10'h38d: sin=12'h7df;
                      10'h38e: sin=12'h7e0;
                      10'h38f: sin=12'h7e0;
                      10'h390: sin=12'h7e1;
                      10'h391: sin=12'h7e1;
                      10'h392: sin=12'h7e2;
                      10'h393: sin=12'h7e2;
                      10'h394: sin=12'h7e3;
                      10'h395: sin=12'h7e3;
                      10'h396: sin=12'h7e4;
                      10'h397: sin=12'h7e5;
                      10'h398: sin=12'h7e5;
                      10'h399: sin=12'h7e6;
                      10'h39a: sin=12'h7e6;
                      10'h39b: sin=12'h7e6;
                      10'h39c: sin=12'h7e7;
                      10'h39d: sin=12'h7e7;
                      10'h39e: sin=12'h7e8;
                      10'h39f: sin=12'h7e8;
                      10'h3a0: sin=12'h7e9;
                      10'h3a1: sin=12'h7e9;
                      10'h3a2: sin=12'h7ea;
                      10'h3a3: sin=12'h7ea;
                      10'h3a4: sin=12'h7eb;
                      10'h3a5: sin=12'h7eb;
                      10'h3a6: sin=12'h7ec;
                      10'h3a7: sin=12'h7ec;
                      10'h3a8: sin=12'h7ec;
                      10'h3a9: sin=12'h7ed;
                      10'h3aa: sin=12'h7ed;
                      10'h3ab: sin=12'h7ee;
                      10'h3ac: sin=12'h7ee;
                      10'h3ad: sin=12'h7ee;
                      10'h3ae: sin=12'h7ef;
                      10'h3af: sin=12'h7ef;
                      10'h3b0: sin=12'h7f0;
                      10'h3b1: sin=12'h7f0;
                      10'h3b2: sin=12'h7f0;
                      10'h3b3: sin=12'h7f1;
                      10'h3b4: sin=12'h7f1;
                      10'h3b5: sin=12'h7f1;
                      10'h3b6: sin=12'h7f2;
                      10'h3b7: sin=12'h7f2;
                      10'h3b8: sin=12'h7f3;
                      10'h3b9: sin=12'h7f3;
                      10'h3ba: sin=12'h7f3;
                      10'h3bb: sin=12'h7f4;
                      10'h3bc: sin=12'h7f4;
                      10'h3bd: sin=12'h7f4;
                      10'h3be: sin=12'h7f5;
                      10'h3bf: sin=12'h7f5;
                      10'h3c0: sin=12'h7f5;
                      10'h3c1: sin=12'h7f5;
                      10'h3c2: sin=12'h7f6;
                      10'h3c3: sin=12'h7f6;
                      10'h3c4: sin=12'h7f6;
                      10'h3c5: sin=12'h7f7;
                      10'h3c6: sin=12'h7f7;
                      10'h3c7: sin=12'h7f7;
                      10'h3c8: sin=12'h7f7;
                      10'h3c9: sin=12'h7f8;
                      10'h3ca: sin=12'h7f8;
                      10'h3cb: sin=12'h7f8;
                      10'h3cc: sin=12'h7f8;
                      10'h3cd: sin=12'h7f9;
                      10'h3ce: sin=12'h7f9;
                      10'h3cf: sin=12'h7f9;
                      10'h3d0: sin=12'h7f9;
                      10'h3d1: sin=12'h7fa;
                      10'h3d2: sin=12'h7fa;
                      10'h3d3: sin=12'h7fa;
                      10'h3d4: sin=12'h7fa;
                      10'h3d5: sin=12'h7fb;
                      10'h3d6: sin=12'h7fb;
                      10'h3d7: sin=12'h7fb;
                      10'h3d8: sin=12'h7fb;
                      10'h3d9: sin=12'h7fb;
                      10'h3da: sin=12'h7fc;
                      10'h3db: sin=12'h7fc;
                      10'h3dc: sin=12'h7fc;
                      10'h3dd: sin=12'h7fc;
                      10'h3de: sin=12'h7fc;
                      10'h3df: sin=12'h7fc;
                      10'h3e0: sin=12'h7fd;
                      10'h3e1: sin=12'h7fd;
                      10'h3e2: sin=12'h7fd;
                      10'h3e3: sin=12'h7fd;
                      10'h3e4: sin=12'h7fd;
                      10'h3e5: sin=12'h7fd;
                      10'h3e6: sin=12'h7fd;
                      10'h3e7: sin=12'h7fd;
                      10'h3e8: sin=12'h7fe;
                      10'h3e9: sin=12'h7fe;
                      10'h3ea: sin=12'h7fe;
                      10'h3eb: sin=12'h7fe;
                      10'h3ec: sin=12'h7fe;
                      10'h3ed: sin=12'h7fe;
                      10'h3ee: sin=12'h7fe;
                      10'h3ef: sin=12'h7fe;
                      10'h3f0: sin=12'h7fe;
                      10'h3f1: sin=12'h7fe;
                      10'h3f2: sin=12'h7ff;
                      10'h3f3: sin=12'h7ff;
                      10'h3f4: sin=12'h7ff;
                      10'h3f5: sin=12'h7ff;
                      10'h3f6: sin=12'h7ff;
                      10'h3f7: sin=12'h7ff;
                      10'h3f8: sin=12'h7ff;
                      10'h3f9: sin=12'h7ff;
                      10'h3fa: sin=12'h7ff;
                      10'h3fb: sin=12'h7ff;
                      10'h3fc: sin=12'h7ff;
                      10'h3fd: sin=12'h7ff;
                      10'h3fe: sin=12'h7ff;
                      10'h3ff: sin=12'h7ff;
                  endcase
              end
	
endmodule