差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

两侧同时换到之前的修订记录 前一修订版
pwm_verilog [2022/05/07 13:09]
gongyu [5. 双PWM产生更高频率的信号]
pwm_verilog [2022/05/07 13:23]
gongyu [5. 双PWM产生更高频率的信号]
行 177: 行 177:
   - 设置PWM DAC的精度为8位,使用两个输出管脚分别驱动外部电阻1.5K和24K(1.5x16),查找表的高4位分配给连接1.5K的输出管脚,查找表的低4位分配给连接24K(1.5K的16倍)的输出管脚。   - 设置PWM DAC的精度为8位,使用两个输出管脚分别驱动外部电阻1.5K和24K(1.5x16),查找表的高4位分配给连接1.5K的输出管脚,查找表的低4位分配给连接24K(1.5K的16倍)的输出管脚。
   - DAC的转换时钟为12MHz*33/​16 = 24.75MHz,相当于一个24.75MHz的并行8位DAC,正弦波表的查找时钟也使用这个24.75MHz的时钟,最高可以生成10MHz的任意波形(按照主时钟40%的转换率 + 7阶椭圆滤波器的方式)   - DAC的转换时钟为12MHz*33/​16 = 24.75MHz,相当于一个24.75MHz的并行8位DAC,正弦波表的查找时钟也使用这个24.75MHz的时钟,最高可以生成10MHz的任意波形(按照主时钟40%的转换率 + 7阶椭圆滤波器的方式)
 +
 +下面为双PWM-DAC为DDS生成正弦波信号发生器的代码:
  
 Verilog代码: Verilog代码:
行 222: 行 224:
 endmodule endmodule
 </​code>​ </​code>​
-得到的结果+ 
 + 
 +改变频率控制字可以得到不同输出信号频率
 {{ :​dualpwmwave.jpg |}}<WRAP centeralign>​ 生成的3.077MHz的正弦波形图 </​WRAP>​ {{ :​dualpwmwave.jpg |}}<WRAP centeralign>​ 生成的3.077MHz的正弦波形图 </​WRAP>​
 {{ :​dualpwmfft.jpg |}}<WRAP centeralign>​ 生成的3.09375MHz的频谱 </​WRAP>​ {{ :​dualpwmfft.jpg |}}<WRAP centeralign>​ 生成的3.09375MHz的频谱 </​WRAP>​