差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

后一修订版
前一修订版
oled_spi_verilog [2021/02/04 00:45]
anran 创建
oled_spi_verilog [2021/02/04 00:56] (当前版本)
anran [2. OLED-Verilog驱动示例]
行 57: 行 57:
  
 ### 2. OLED-Verilog驱动示例 ### 2. OLED-Verilog驱动示例
 +
 +一个简单的FPGA驱动SSD1306-OLED的简单示例,显示拨码开关的二进制数据
  
 <code verilog> <code verilog>
行 364: 行 366:
  
 </​code>​ </​code>​
 +
 +上面程序通用性不强,大家也可以设计一个RAM显存,直接在RAM里调整显示的点阵数据,直接读取RAM刷数据即可
 +
 +<code verilog>
 +module OLED12832
 +(
 + input clk,​ //​12MHz系统时钟
 + input rst_n,​ //​系统复位,低有效
 +
 + input display_en,​
 + output reg display_done,​
 +
 + output reg ram_clk_en,​
 + output reg [7:​0] ram_addr,​
 + input [31:​0] ram_data,​
 +
 + output reg oled_csn,​ //​OLCD液晶屏使能
 + output reg oled_rst,​ //​OLCD液晶屏复位
 + output reg oled_dcn,​ //​OLCD数据指令控制
 + output reg oled_clk,​ //​OLCD时钟信号
 + output reg oled_dat //​OLCD数据信号
 +);
 +
 +//......
 +//......
 +//......
 +//......
 +
 +endmodule ​
 +
 +</​code>​
 +
 +大家自己发挥吧 ^v^