差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

两侧同时换到之前的修订记录 前一修订版
后一修订版
前一修订版
fpga_cores [2019/09/06 13:16]
gongyu
fpga_cores [2019/09/06 13:28] (当前版本)
gongyu
行 7: 行 7:
   * [[2._rgb_led|RGB三色LED]]   * [[2._rgb_led|RGB三色LED]]
   * [[3._3-8译码器|3-8译码器]]   * [[3._3-8译码器|3-8译码器]]
-   * [[4._数码管显示|数码管显示]] +  ​* [[4._数码管显示|数码管显示]] 
-   ​* [[5._时钟分频|时钟分频]] +  * [[5._时钟分频|时钟分频]] 
-   ​* [[6._led流水灯|LED流水灯]] +  * [[6._led流水灯|LED流水灯]] 
-   ​* [[7._按键消抖|按键消抖]] +  * [[7._按键消抖|按键消抖]] 
-   ​* [[8._计时控制|计时控制]] +  * [[8._计时控制|计时控制]] 
-   ​* [[9._呼吸灯|呼吸灯]] +  * [[9._呼吸灯|呼吸灯]] 
-   ​* [[10._交通灯|简易交通灯]] +  * [[10._交通灯|简易交通灯]] 
- * STEPFPGA网站上的中级项目+* STEPFPGA网站上的中级项目
   * [[矩阵键盘键入系统设计|矩阵键盘键入系统设计]]   * [[矩阵键盘键入系统设计|矩阵键盘键入系统设计]]
   * [[简易电子琴设计|基于触摸按键的简易电子琴设计]]   * [[简易电子琴设计|基于触摸按键的简易电子琴设计]]
行 60: 行 60:
  
 * 来自fpga4students上的项目 * 来自fpga4students上的项目
 +  * [[fpga4students上FPGA相关的项目]]
 +  * [[fpga4students上用Verilog写的项目]]
 +  * [[fpga4students上用VHDL写的项目]]
   * [[https://​www.fpga4student.com/​2017/​04/​simple-debouncing-verilog-code-for.html|Key debounce]]   * [[https://​www.fpga4student.com/​2017/​04/​simple-debouncing-verilog-code-for.html|Key debounce]]
   * [[https://​www.fpga4student.com/​2016/​11/​verilog-code-for-alarm-clock-on-fpga.html|Alarm clock]]   * [[https://​www.fpga4student.com/​2016/​11/​verilog-code-for-alarm-clock-on-fpga.html|Alarm clock]]
   * [[https://​www.fpga4student.com/​2016/​11/​verilog-code-for-parking-system-using.html|Car parking system]]   * [[https://​www.fpga4student.com/​2016/​11/​verilog-code-for-parking-system-using.html|Car parking system]]
 * 周祖成老师的教材中的项目 * 周祖成老师的教材中的项目
-  * +  * [[LED_zhou|LED灯]] 
 +  * [[pulse_zhou|脉冲发生器]] 
 +  * [[pwm_zhou|脉宽调制]] 
 +  * [[wg_zhou|波形发生器]] 
 +  * [[keyscan_display_zhou|键盘扫描及数码管显示]] 
 +  * [[caculator_zhou|计算器]] 
 +  * [[digitalclock|数字钟]] 
 +  * [[serialint_zhou|串行接口]] 
 +  * [[I2C_zhou|I2C实验]] 
 +  * [[bch_encoder|zhou|BCH编码实验]] 
 +  * [[audioint_zhou|音频接口实验]] 
 +  * [[vgaint_zhou|VGA接口实验]] 
 +  * [[videoint_zhou|视频接口实验]] 
 +  * [[lcdtouch_zhou|液晶屏是触摸屏实验]] 
 +  * [[nios_zhou|Nios系统入门实验]] 
 +  * [[uclinux_zhou|uCLinux实验]]