https://www.fpga4student.com/p/fpga-projects.html

1.What is an FPGA? How does FPGA work? 2. Basys 3 FPGA OV7670 Camera 3. How to load text file or image into FPGA 4. Image processing on FPGA using Verilog 5. License Plate Recognition on FPGA 6. Alarm Clock on FPGA using Verilog 7. Digital Clock on FPGA using VHDL 8. Simple Verilog code for debouncing buttons on FPGA 9. Traffic Light Controller on FPGA 10. Car Parking System on FPGA in Verilog 11. VHDL code for comparator on FPGA 12. Verilog code for Multiplier on FPGA 13. N-bit Ring Counter in VHDL on FPGA 14. Verilog implementation of Microcontroller on FPGA 15. Verilog Carry Look Ahead Multiplier on FPGA 16. VHDL Matrix Multiplication on FPGA Xilinx 17. Fixed Point Matrix Multiplication on FPGA using Verilog 18. Verilog Divider on FPGA 19. VHDL code for Microcontroller on FPGA 20. VHDL code for FIR Filter on FPGA 21. Verilog code for Digital logic components on FPGA 22. Delay Timer Implementation on FPGA using Verilog 23. Single-Cycle MIPS processor on FPGA using Verilog 24. FIFO Verilog Implementation on FPGA 25. FIFO VHDL Implementation on FPGA 26. Verilog D Flip Flop on FPGA 27. Comparator Design on FPGA using Verilog 28. D Flip Flop on FPGA using VHDL 29. Full Adder Design on FPGA using Verilog 30. Full Adder Design on FPGA using VHDL 31. Counters on FPGA with Verilog Testbench 32. RISC Processor Design on FPGA using Verilog 33. Verilog test bench for inout ports on FPGA 34. PWM Generator on FPGA using VHDL 35. Tic Tac Toe Game on FPGA using Verilog 36. VHDL code for ALU on FPGA 37. Verilog code for ALU on FPGA 38. Counter design on FPGA with VHDL test bench 39. Pipelined MIPS Processor on FPGA in Verilog (Part-1) 40. Pipelined MIPS Processor on FPGA in Verilog (Part-2) 41. Pipelined MIPS Processor on FPGA in Verilog (Part-3) 42. Verilog Decoder on FPGA 43. Verilog Multiplexers on FPGA 44. N-bit Adder Design on FPGA in Verilog 45. VHDL ALU on FPGA using N-bit Verilog Adder 46. VHDL Shifter on FPGA 47. Lookup Table VHDL example code on FPGA 48. Coprocessor VHDL Implementation on FPGA 49. Affordable Xilinx FPGA boards for beginners 50. Affordable Altera FPGA boards for beginners 51. What is FPGA Programming? 52. Verilog vs VHDL: Explain by Examples 53. VHDL code for clock divider on FPGA 54. Verilog code for clock divider on FPGA 55. How to generate a clock enable signal instead of creating another clock domain 56. VHDL code for debouncing buttons on FPGA 57. VHDL code for Traffic light controller on FPGA 58. Verilog code for PWM Generator on FPGA 59. VHDL code for a simple 2-bit comparator on FPGA 60. VHDL code for a single-port RAM 61. FPGA car Parking System in VHDL using Finite State Machine (FSM) 62. VHDL code for MIPS Processor 63. Verilog code for Sequence Detector using Moore FSM 64. Full VHDL code for Sequence Detector using Moore FSM 65. [FPGA Tutorial] Seven-Segment LED Display Controller on Basys 3 FPGA 66. VHDL code for Seven-Segment Display on Basys 3 FPGA 67. [FPGA tutorial] How to interface a mouse with Basys 3 FPGA 68. Verilog Code for Ripple Carry Adder 69. How to Read Image into FPGA using VHDL