差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

后一修订版
前一修订版
fpga4students上用vhdl写的项目 [2019/04/25 16:20]
jingjing 创建
fpga4students上用vhdl写的项目 [2019/04/25 17:10] (当前版本)
jingjing
行 1: 行 1:
-https://​www.fpga4student.com/​p/​vhdl-project.html 
 ===== fpga4students上用vhdl写的项目 ===== ===== fpga4students上用vhdl写的项目 =====
  
-1.  什么是FPGA?VHDL如何在FPGA上工作 +1.  ​[[https://​www.fpga4student.com/​2016/​12/​what-is-fpga-five-reasons-why-i-love-fpga.html|什么是FPGA?VHDL如何在FPGA上工作]] 
-2.  FIFO存储器的VHDL代码 +2.  ​[[https://​www.fpga4student.com/​2017/​01/​vhdl-code-for-fifo-memory.html|FIFO存储器的VHDL代码]] 
-3.  FIR滤波器的 +3.  ​[[https://​www.fpga4student.com/​2017/​01/​a-low-pass-fir-filter-in-vhdl.html|FIR滤波器的VHDL代码]] 
-VHDL代码 4. ​位微控制器的VHDL代码 +4.  ​[[https://​www.fpga4student.com/​2016/​12/​a-complete-8-bit-microcontroller-in-vhdl.html|8位微控制器的VHDL代码]] 
-5.  Matrix Multiplication的VHDL代码  +5.  ​[[https://​www.fpga4student.com/​2016/​11/​matrix-multiplier-core-design.html|Matrix Multiplication的VHDL代码]] 
-6.  Switch Tail Ring Counter的VHDL代码 +6.  ​[[https://​www.fpga4student.com/​2016/​11/​programmable-n-bit-switch-tail-ring.html|Switch Tail Ring Counter的VHDL代码]] 
-7.  FPGA上数字闹钟的VHDL代码 +7.  ​[[https://​www.fpga4student.com/​2016/​11/​vhdl-code-for-digital-clock-on-fpga.html|FPGA上数字闹钟的VHDL代码]] 
-8.  用于8位比较器的VHDL代码 +8.  ​[[https://​www.fpga4student.com/​2016/​11/​verilog-code-for-8-bit-74f521-identity.html|用于8位比较器的VHDL代码]] 
-9.  如何使用VHDL将文本文件加载到FPGA中 +9.  ​[[https://​www.fpga4student.com/​2016/​11/​two-ways-to-load-text-file-to-fpga-or.html|如何使用VHDL将文本文件加载到FPGA中]] 
-10.  D触发器的VHDL代码 +10. [[https://​www.fpga4student.com/​2017/​02/​vhdl-code-for-d-flip-flop.html|D触发器的VHDL代码]] 
-11.  Full Adder的VHDL代码 +11. [[https://​www.fpga4student.com/​2017/​02/​vhdl-code-for-full-adder.html|Full Adder的VHDL代码]] 
-12.  具有可变占空比的VHDL PWM发生器 +12. [[https://​www.fpga4student.com/​2017/​06/​pwm-generator-in-vhdl.html|具有可变占空比的VHDL PWM发生器]] 
-13.  具有测试平台的计数器的VHDL代码 +13. [[https://​www.fpga4student.com/​2017/​06/​vhdl-code-for-counters-with-testbench.html|具有测试平台的计数器的VHDL代码]] 
-14.  ALU的VHDL代码 +14. [[https://​www.fpga4student.com/​2017/​06/​vhdl-code-for-arithmetic-logic-unit-alu.html|ALU的VHDL代码]] 
-15. 使用Verilog N位加法器的VHDL 16位ALU设计 +15. [[https://​www.fpga4student.com/​2017/​07/​16-bit-alu-design-in-vhdl.html|使用Verilog N位加法器的VHDL 16位ALU设计]] 
-16.  VHDL中的移位器设计 +16. [[https://​www.fpga4student.com/​2017/​07/​shifter-design-in-vhdl.html|VHDL中的移位器设计]] 
-17.  VHDL中的非线性查找表实现 +17. [[https://​www.fpga4student.com/​2017/​07/​non-linear-lookup-table-implementation.html|VHDL中的非线性查找表实现]] 
-18.  VHDL中的加密协处理器设计 +18. [[https://​www.fpga4student.com/​2017/​07/​cryptographic-coprocessor-design-in-vhdl.html|VHDL中的加密协处理器设计]] 
-19.  Verilog vs VHDL:通过实例解释 +19. [[https://​www.fpga4student.com/​2017/​08/​verilog-vs-vhdl-explain-by-example.html|Verilog vs VHDL:通过实例解释]] 
-20.  时钟分频器的VHDL代码 +20. [[https://​www.fpga4student.com/​2017/​08/​vhdl-code-for-clock-divider-on-fpga.html|时钟分频器的VHDL代码]] 
-21.  如何生成时钟使能信号而不是创建另一个时钟域 +21. [[https://​www.fpga4student.com/​2017/​08/​how-to-generate-clock-enable-signal.html|如何生成时钟使能信号而不是创建另一个时钟域]] 
-22.  用于在FPGA上去抖按钮的VHDL代码 +22. [[https://​www.fpga4student.com/​2017/​08/​vhdl-code-for-debouncing-buttons-on-fpga.html|用于在FPGA上去抖按钮的VHDL代码]] 
-23.  交通灯控制器的VHDL代码 +23. [[https://​www.fpga4student.com/​2017/​08/​vhdl-code-for-traffic-light-controller.html|交通灯控制器的VHDL代码]] 
-24.  用于简单2比特比较器的VHDL代码 +24. [[https://​www.fpga4student.com/​2017/​08/​vhdl-code-for-comparator.html|用于简单2比特比较器的VHDL代码]] 
-25.  单端口RAM的VHDL代码 +25. [[https://​www.fpga4student.com/​2017/​08/​vhdl-code-for-single-port-ram.html|单端口RAM的VHDL代码]] 
-26.  使用有限状态机(FSM)的VHDL停车场系统 +26. [[https://​www.fpga4student.com/​2017/​08/​car-parking-system-in-vhdl-using-FSM.html|使用有限状态机(FSM)的VHDL停车场系统]] 
-27.  VHDL编码与软件编程 +27. [[https://​www.fpga4student.com/​2017/​08/​what-is-fpga-programming.html|VHDL编码与软件编程]] 
-28.  MIPS处理器的VHDL代码 +28. [[https://​www.fpga4student.com/​2017/​09/​vhdl-code-for-mips-processor.html|MIPS处理器的VHDL代码]] 
-29.  使用Moore FSM的序列检测器的完整VHDL代码 +29. [[https://​www.fpga4student.com/​2017/​09/​vhdl-code-for-moore-fsm-sequence-detector.html|使用Moore FSM的序列检测器的完整VHDL代码]] 
-30.  用于Basys 3 FPGA上的七段显示的VHDL代码 +30. [[https://​www.fpga4student.com/​2017/​09/​vhdl-code-for-seven-segment-display.html|用于Basys 3 FPGA上的七段显示的VHDL代码]] 
-31.  用于Basys 3 FPGA上的Ov7670相机的VHDL代码 +31. [[https://​www.fpga4student.com/​2018/​08/​basys-3-fpga-ov7670-camera.html|用于Basys 3 FPGA上的Ov7670相机的VHDL代码]] 
-32.  如何使用VHDL将图像读入FPGA+32. [[https://​www.fpga4student.com/​2018/​08/​how-to-read-image-in-vhdl.html|如何使用VHDL将图像读入FPGA]]