差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

两侧同时换到之前的修订记录 前一修订版
后一修订版
前一修订版
altera_1led [2020/07/08 16:20]
zili
altera_1led [2020/07/08 16:37] (当前版本)
zili [====Verilog代码====]
行 4: 行 4:
 \ \
 \ \
 + 
 ====硬件说明==== ====硬件说明====
 +
 ------- -------
 STEP-MAX10开发板虽然很小巧,上面也集成了不少外设,在本实验里我们就看看如何用FPGA控制简单外设,如何用按键或者开关控制LED的亮和灭。 STEP-MAX10开发板虽然很小巧,上面也集成了不少外设,在本实验里我们就看看如何用FPGA控制简单外设,如何用按键或者开关控制LED的亮和灭。
行 22: 行 23:
 \ \
 ====Verilog代码==== ====Verilog代码====
 +
 ------ ------
 <code verilog> <code verilog>
行 72: 行 74:
  
 ====小结==== ====小结====
 +
 ------ ------
 下载完程序后就可以实现按键开关控制LED灯的亮灭。了解小脚丫STEP-MAX10 V2上的外设LED、按键和开关的使用。如果你对Quartus Prime软件的使用不了解,请参考这里:[[Quartus Prime|Quartus的使用]]。 下载完程序后就可以实现按键开关控制LED灯的亮灭。了解小脚丫STEP-MAX10 V2上的外设LED、按键和开关的使用。如果你对Quartus Prime软件的使用不了解,请参考这里:[[Quartus Prime|Quartus的使用]]。