差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

两侧同时换到之前的修订记录 前一修订版
后一修订版
前一修订版
9._呼吸灯 [2017/03/23 00:04]
zhijun
9._呼吸灯 [2019/09/06 11:56] (当前版本)
gongyu
行 1: 行 1:
-=====呼吸灯=====+#### 呼吸灯 
 + 
 +---
  
 本节,我们将通过脉宽调制技术来实现“呼吸灯”,实现LED的亮度由最暗逐渐增加到最亮,再逐渐变暗的过程。 本节,我们将通过脉宽调制技术来实现“呼吸灯”,实现LED的亮度由最暗逐渐增加到最亮,再逐渐变暗的过程。
 脉冲宽度调制(PWM:​Pulse Width Modulation),简称脉宽调制。它是利用微控制器的数字输出调制实现,是对模拟电路进行控制的一种非常有效的技术,广泛应用于测量、通信、功率控制与变换等众多领域。 脉冲宽度调制(PWM:​Pulse Width Modulation),简称脉宽调制。它是利用微控制器的数字输出调制实现,是对模拟电路进行控制的一种非常有效的技术,广泛应用于测量、通信、功率控制与变换等众多领域。
 +
 \\ \\
-====硬件说明==== + 
--------+####硬件说明 
 + 
 +---
 呼吸灯的设计较为简单,我们使用12MHz的系统时钟作为高频信号做分频处理,调整占空比实现PWM,通过LED灯LD1指示输出状态。 呼吸灯的设计较为简单,我们使用12MHz的系统时钟作为高频信号做分频处理,调整占空比实现PWM,通过LED灯LD1指示输出状态。
  
行 26: 行 31:
 {{ ::​呼吸灯pwm原理.jpg |PWM呼吸灯原理}} {{ ::​呼吸灯pwm原理.jpg |PWM呼吸灯原理}}
  
-====Verilog代码==== +####Verilog代码 
-------+ 
 +--- 
 <code verilog> <code verilog>
-module breath_led(clk_in,rst_n_in,Breath_led); + 
-  +// ******************************************************************** 
- input ​clk_in+// >>>>>>>>>>>>>>>>>>>>>>>>>​ COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<​ 
- input ​rst_n_in+// ******************************************************************** 
- output ​Breath_led+// File name    : breath_led.v 
-  +// Module name  : breath_led 
- reg [24:0] cnt1; +// Author ​      : STEP 
- reg [24:0] cnt2; +// Description ​ :  
- reg flag; +// Web          : www.stepfpga.com 
-  +//  
- parameter ​  ​CNT_NUM = 2400;​ //​period = (2400^2)*2 = 24000000 = 2s +// -------------------------------------------------------------------- 
- //generate ​cnt1 signal +// Code Revision History :  
- always@(posedge ​clk_in ​or negedge ​rst_n_in) begin  +// -------------------------------------------------------------------- 
- if(!rst_n_in) begin+// Version: |Mod. Date:   ​|Changes Made: 
 +// V1.0     ​|2017/​03/​02 ​  ​|Initial ver 
 +// -------------------------------------------------------------------- 
 +// Module Function:​呼吸灯 
 +module breath_led(clk,rst,led); 
 +  
 + input ​clk            //​系统时钟输入 
 + input ​rst            //​复位输出 
 + output ​led           //​led输出 
 +  
 + reg [24:0] cnt1;       //​计数器1 
 + reg [24:0] cnt2;       //​计数器2 
 + reg flag;              //​呼吸灯变亮和变暗的标志位 
 +  
 + parameter ​  ​CNT_NUM = 2400; //计数器的最大值 ​period = (2400^2)*2 = 24000000 = 2s 
 + //产生计数器cnt1 
 + always@(posedge ​clk or negedge ​rst) begin  
 + if(!rst) begin
  cnt1<​=13'​d0;​  cnt1<​=13'​d0;​
- end ​else begin + end  
- if(cnt1>​=CNT_NUM-1) cnt1<​=1'​b0;​ +        ​else ​if(cnt1>​=CNT_NUM-1) ​ 
- else cnt1<​=cnt1+1'​b1; ​end+ cnt1<​=1'​b0;​ 
 +      else  
 +                ​cnt1<​=cnt1+1'​b1; ​
  end  end
- +  
- //generate ​cnt2 signal + //产生计数器cnt2 
- always@(posedge ​clk_in ​or negedge ​rst_n_in) begin  + always@(posedge ​clk or negedge ​rst) begin  
- if(!rst_n_in) begin+ if(!rst) begin
  cnt2<​=13'​d0;​  cnt2<​=13'​d0;​
  flag<​=1'​b0;​  flag<​=1'​b0;​
- end else begin + end ​ 
- if(cnt1==CNT_NUM-1) begin +        ​else if(cnt1==CNT_NUM-1) begin //​当计数器1计满时计数器2开始计数加一或减一 
- if(!flag) begin + if(!flag) begin            //​当标志位为0时计数器2递增计数,表示呼吸灯效果由暗变亮 
- if(cnt2>​=CNT_NUM-1) flag<​=1'​b1;​ + if(cnt2>​=CNT_NUM-1) ​   //​计数器2计满时,表示亮度已最大,标志位变高,之后计数器2开始递减 
- else cnt2<​=cnt2+1'​b1;​ + flag<​=1'​b1;​ 
- end else begin + else 
- if(cnt2<​=0) flag<​=1'​b0;​ + cnt2<​=cnt2+1'​b1;​ 
- else cnt2<​=cnt2-1'​b1;​ + end 
- end + else begin 
- end ​else cnt2<​=cnt2;​ + if(cnt2<​=0) ​     //​当标志位为高时计数器2递减计数 
- end+ flag<​=1'​b0;​    //​计数器2级到0,表示亮度已最小,标志位变低,之后计数器2开始递增 
 + else ​  
 + cnt2<​=cnt2-1'​b1;​ 
 + end  
 +
  end  end
 + else ​
 + cnt2<​=cnt2; ​               //​计数器1在计数过程中计数器2保持不变
 + end
 + 
 + //​比较计数器1和计数器2的值产生自动调整占空比输出的信号,输出到led产生呼吸灯效果
 + assign led = (cnt1<​cnt2)?​1'​b0:​1'​b1;​
 + 
 +endmodule
  
- //Compare cnt1 and cnt2, generate PWM-Breath-led 
- assign Breath_led = (cnt1<​cnt2)?​1'​b0:​1'​b1;​ 
  
-endmodule ​+</​code>​
  
 +####​引脚分配
  
-</​code>​+---
  
 引脚分配如下: 引脚分配如下:
  
-^ 管脚名称 | clk_inrst_n_inBreath_led|+^ 管脚名称 | clkrstled|
 ^ FPGA管脚 | C1    | L14     | N13       | ^ FPGA管脚 | C1    | L14     | N13       |
-====仿真结果==== 
- 
-{{:​呼吸灯仿真.jpg|呼吸灯仿真}} 
-====资源报告==== 
- 
-^ 资源 | 数量 ​ | 比例 ​ | 说明 ​ | 
-^ LUTs | 40   | 6%   ​| ​  |  
-^ 寄存器 | 27    | 2% |   | 
-^ 存储器 | 0  | 0%   ​| ​   | 
-^ IO管脚 ​  | 3 |   ​| ​   | 
-^ 时钟频率 | 25MHz |   ​| ​   | 
- 
-====知识点==== 
  
-  * 呼吸灯原理 
-  * PWM脉宽调节 
-  * 脉冲发生原理 
  
 +####小结
  
-====参考文档==== +---
-  * [[脉冲发生器]] +
-  * {{:​machxo2familydatasheet.pdf|Lattice MachXO2数据手册}}+
  
 +脉宽调制是一种值得广大工程师在许多应用设计中使用的有效技术,你也可以根据本节介绍的流水灯程序,实现RGB三色灯的呼吸。在下一小节我们会学习状态机的使用方法:[[10. 交通灯|交通灯的设计]]。