差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

两侧同时换到之前的修订记录 前一修订版
后一修订版
前一修订版
篮球24秒计时器 [2017/03/14 10:34]
zhijun
篮球24秒计时器 [2020/01/18 22:00] (当前版本)
gongyu
行 1: 行 1:
-======篮球24秒计时器======+### 篮球24秒计时器
 篮球是我们经常接触到的项目,那么如何去利用FPGA去完成篮球比赛中常用的24秒计时器呢? 篮球是我们经常接触到的项目,那么如何去利用FPGA去完成篮球比赛中常用的24秒计时器呢?
-=====一、项目简介=====+ 
 +--- 
 +#### 一、项目简介
 在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就会被判定为犯规。本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。 在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就会被判定为犯规。本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。
-=====二、项目需求分析=====+ 
 +--- 
 +#### 二、项目需求分析
 通过对篮球比赛中的规则进行分析,可得出以下需求: 通过对篮球比赛中的规则进行分析,可得出以下需求:
 +\\
 1.此电路需要有时间显示功能; 1.此电路需要有时间显示功能;
 +\\
 2.要求整体电路具备24秒递减计时,每隔1s,​计时器数字-1; 2.要求整体电路具备24秒递减计时,每隔1s,​计时器数字-1;
 +\\
 3.要有外部开关,控制计数器的复位、暂停、启动和正常计时; 3.要有外部开关,控制计数器的复位、暂停、启动和正常计时;
 +\\
 4.当计时为0时,发出报警信息; 4.当计时为0时,发出报警信息;
-=====三、硬件部分===== +\\ 
-=====四、Verilog实现===== + 
-=====五、运行效果=====+#### 、逻辑分析 
 + 
 +#### 四、硬件部分 
 +#### 五、Verilog实现 
 +#### 六、运行效果