STEP-MAX10是小脚丫平台基于Altera公司芯片开发的FPGA开发板。核心FPGA芯片采用了Altera公司MAX10系列下的10M08SCM153/10M02SCM153。小脚丫STEP MAX10开发板的尺寸同样也采用了DIP40封装,小巧携带方便。板载资源也是十分丰富,包含4路轻触按键,4路拨码开关,8路用户LED,2路RGB_LED三色灯,此外,板卡集成了下载器,一根MicroUSB数据线即可完成开发板的供电与下载。MAX10芯片的资源更丰富,是想学习Altera FPGA开发的最好入门工具。

小脚丫STEP-MAX10

小脚丫STEP-MAX10硬件结构

  • 核心器件:Altera 10M08SCM153
    • 153脚BGA封装,引脚间距0.5mm,芯片尺寸8mm x 8mm;
    • 上电瞬时启动,;
    • 8000个LE资源, 最大172KB 用户闪存,378Kbit RAM;
    • 2路PLL;
    • 24路硬件乘法器;
    • 支持DDR2/DDR3L/DDR3/LPDDR2存储器;
    • 112个用户GPIO;
    • 3.3V电压供电;
  • 核心器件:Altera 10M02SCM153
    • 153脚BGA封装,引脚间距0.5mm,芯片尺寸8mm x 8mm;
    • 上电瞬时启动,;
    • 2000个LE资源, 12KB 用户闪存,108Kbit RAM;
    • 2路PLL;
    • 16路硬件乘法器;
    • 支持DDR2/DDR3L/DDR3/LPDDR2存储器;
    • 112个用户GPIO;
    • 3.3V电压供电;
    • 板载资源:
      • 两个RGB三色LED;
      • 2路用户LED;
      • 4路拨码开关;
      • 2路按键;
      • 36个用户可扩展I/O;
        - 支持的开发工具Altera QuartusII;
        - 一路Micro USB接口;
        - 一个10pin的JTAG编程接口;
        - 板卡尺寸52mm x 18mm;


        注:LED部分由于请以管脚分配表为准!

        小脚丫STEP-MAX10引脚对照表

        上电测试


        STEP-MXO2 V2开发板通过USB供电,采用了常见Micro USB接口。开发板出厂内置测试程序,上电后:


数码管:循环显示0~7之间的数字
流水灯:依次闪烁实现流水效果
三色灯:两个三色灯逐次显示红,绿,蓝,白颜色
拨码开关:控制数码管、流水灯及三色灯闪烁的速度,
四位拨码开关全部拨至下端,闪烁速度最快(0.5s左右)
四位拨码开关全部拨至上端,闪烁速度最慢(2s左右)
四位拨码开关处于其他状态时,闪烁速度适中(1s左右)
按键开关:控制数码管、流水灯及三色灯闪烁的方向及显示的亮度,
K1:控制数码管、流水灯及三色灯闪烁的方向或顺序
K2:控制所有显示的亮度,分六级亮度等级,按K2亮度增强
K3:控制所有显示的亮度,分六级亮度等级,按K3亮度减弱
K4:软件复位