首先我们先来讲一下 Verilog 的四值逻辑系统。在 Verilog 的逻辑系统中有四种值,也即四种状态。

  • 逻辑 0:表示低电平,也就对应我们电路 GND;
  • 逻辑 1:表示高电平,也就是对应我们电路的 VCC;
  • 逻辑 X:表示未知,有可能是高电平,也有可能是低电平,仿真发生了不能解决的逻辑冲突;
  • 逻辑 Z:表示高阻态,外部没有激励信号是一个悬空状态。