1. 掌握PWM的原理
  2. 了解LED通过PWM调亮度的方法
  3. 基于STEP-MXO2第一代平台实现周期为2s的呼吸灯设计

呼吸灯:顾名思义,灯光的亮度在控制下不断的在亮和灭之间逐渐变化,感觉好像是人在呼吸。控制LED的亮度总体有两种方法,一种是给LED灯上施加模拟信号(可以串接可调电阻或DAC输出模拟信号),通过改变流经LED上的电流控制LED灯的亮度;另一种是使用PWM,给LED灯上施加数字信号,通过调整数字信号的占空比(调整占空比 = 调整有效值)来控制LED灯的亮度。这里我们的呼吸灯就是采用第二种PWM的方法实现。

PWM脉冲宽度调制,是利用数字输出对模拟电路进行控制的一种非常有效的技术。通过改变PWM的占空比从而改变输出电平的有效值,在LED的亮度上反应出来,如果占空比能够由小变大再变小,在LED上反应出的效果就是呼吸灯的效果。

占空比

脉冲发生一般通过对一个高频的脉冲信号进行分频来生成。

分频比:指方波的周期和高频信号手气之间发的比例关系,通过控制分频比来生成不同频率的方波。例如,开发系统上的时钟频率是25MHz,如果要生成5MHz的方波,则分频比为5。

为了方便在硬件平台上演示,我们使用25MHz的系统时钟作为高频信号做分频处理,产生脉冲信号,通过调节脉冲信号的周期和正脉冲的宽度,最终生成我们需要的脉冲信号。

三路按键输入:

  • keymenu:使用按键K5作为输入,按动K5按键控制周期和脉宽调节模式的切换。 * keyup:使用按键K1作为输入,按动K1按键根据所处的模式控制周期或脉宽参数的增加。
  • keydown:使用按键K3作为输入,按动K3按键根据所处的模式控制周期或脉宽参数的减小。 两路LED输出: * menustate:使用小脚丫核心板上的LD1作为输出,当LED点亮或熄灭时分别表示脉宽调节模式或周期调节模式。
  • pulse_out:使用小脚丫核心板上的LD2作为输出,可以根据LED的亮度表示周期和脉宽的变化(注意:当输出低电平时LED点亮)。

LED电路连接

按键模块电路连接

设计文件

脉冲发生程序设计

我们在硬件连接中也提到了脉冲发生器设计需要的硬件资源作为接口外设,整个设计的接口定义如下:

input			clk_in,
input			rst_n_in,
input			key_menu,
input			key_up,
input			key_down,
output			menu_state,
output	reg		pulse_out

本设计中我们用到三个按键作为输入,需要对按键输入做消抖处理,消抖模块的原理及设计请参考按键消抖设计,这里我们以key_menu的消抖为例调用按键消抖模块,如下:

//Debounce for key_menu
Debounce Debounce_menu(.clk(clk_in),.rst_n(rst_n_in),.key_n(key_menu),.key_state(menu_state)); 

对三路按键做消抖后的信号可以实现周期和脉宽的控制,本设计中我们的分频比范围为2~16分级可调,0%<脉宽<100%分级可调,控制脉宽参数duty要始终小于周期参数cycle,实现方法如下:

//Control cycle and duty cycle
always @(posedge clk_in or negedge rst_n_in) begin 
	if(!rst_n_in) begin 
		cycle<=4'd8;
		duty<=4'd4;
	end else begin
		if(menu_state) begin
			if(up_pulse && (cycle<4'd15)) cycle <= cycle + 4'd1;
			else if(down_pulse && (cycle>(duty+4'd1))) cycle <= cycle - 4'd1;
			else cycle <= cycle;
		end else begin
			if(up_pulse && (cycle>(duty+4'd1))) duty <= duty + 4'd1;
			else if(down_pulse && (duty>4'd0)) duty <= duty - 4'd1;
			else duty <= duty;
		end
	end 
end

最后根据周期参数cycle控制计数器的计数范围,根据脉宽参数duty控制正电压脉冲的宽度,产生脉冲信号。

reg	[3:0]	cnt;
//counter for cycle
always @(posedge clk_in or negedge rst_n_in) begin 
	if(!rst_n_in) begin 
		cnt<=4'd0;
	end else begin
		if(cnt>=cycle) cnt<=4'd0;
		else cnt <= cnt + 4'd1;
	end 
end 
 
//pulse generate with duty
always @(posedge clk_in or negedge rst_n_in) begin 
	if(!rst_n_in) begin 
		pulse_out<=1'b1;
	end else begin
		if(cnt<=duty) pulse_out<=1'b1;
		else pulse_out<=1'b0;
	end 
end 

引脚分配如下:

管脚名称 clkin| rstnin| keymenukeyup|keydownmenustate|pulseout
FPGA管脚 C1 A2 A12 B8 A10 A3 A7

LED灯亮度

示波器测量结果

资源 数量 比例 说明
LUTs 61 10%
寄存器 80 5%
存储器 0 0%
IO管脚 7
时钟频率 25MHz
  • 按键消抖
  • PWM脉宽调节
  • 脉冲发生原理
文件名称 功能
Pulse_gen.v 脉冲发生器TOP文件
Debounce.v 按键消抖