差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

两侧同时换到之前的修订记录 前一修订版
后一修订版
前一修订版
上一修订版 两侧同时换到之后的修订记录
呼吸灯 [2016/06/14 17:06]
anran [硬件平台]
呼吸灯 [2016/06/28 11:05]
anran [代码设计]
行 7: 行 7:
 ====设计要求==== ====设计要求====
 ------ ------
-  - 掌握Verilog子模块调用 +  - 掌握PWM原理 
-  - 掌握PWM和脉冲发生原理 +  - 了解LED通过PWM调亮度方法 
-  - 基于[[STEP-Baseboard]]平台实现脉冲发生器的设计,周期可调,占空比可调 +  - 基于[[STEP-MXO2第一代]]平台实现周期为2s呼吸灯设计
 ====工作原理==== ====工作原理====
 ------ ------
-脉冲发生器是信号发生器的一种周期和占空比均可调的矩形脉冲发生器。 +呼吸灯:顾名思义,灯光的亮度在控制下不断的在亮和灭之间逐渐变化,感觉好像是人在呼吸。控制LED的亮度总体有两种方法,一种给LED灯上施加模拟信号(可以串接可调电阻或DAC输出模拟信号),通过改变流经LED上电流控制LED灯的亮度;另一种是使用PWM,给LED灯上施加数字信号,通过调整数字信号的占空比整占空比 = 调整有效值)来控制LED灯亮度。这里我们的呼吸灯就是采用第二种PWM方法实现
  
-周期:在数字信号领域中是信号变化的过程中,某段波形重复出现,其某一次开始至结束这段时间就称为“周期"​。 +PWM脉冲宽度调制,是利用数字输出对模拟电路进行控制一种非常有效技术。通改变PWM的占空比从而改变输出电平有效值,在LED亮度上反应出来如果占空比能够由小变大再变小在LED反应出效果就呼吸灯的效果。
- +
-占空比:是在一串理想脉冲系列中正脉冲的持续时间与脉冲周期的比值。例如下图中t为正脉冲持续时间,T为脉冲周期,占空比为t/T。 +
- +
-{{ :​占空比.jpg |占空比}} +
- +
-脉冲发生一般通过对一个高频的脉冲信号进行分频来生成。 +
- +
-分频比:指方波的周期和高频信号手气之间发的比例关系通过控制分频比来生成不同频率的方波。例如,开发系统上的时钟频率25MHz,如要生成5MHz的方波,则分频比为5+
  
 +{{ :​脉冲有效值.jpg |脉冲信号有效值}}
  
 +如上图所示,脉冲信号的周期为T,高电平脉冲宽度为t,占空比为t/​T。为了实现PWM脉宽调制,我们需要保持周期T不变,调整高电平脉宽t的时间,从而改变占空比。
  
 +  * 当t = 0时,占空比为0%,因为我们的LED硬件为低电平点亮,所以为最亮的状态。
 +  * 当t = T时,占空比为100%,LED灯为最暗(熄灭)的状态。
  
 +结合呼吸灯的原理,整个呼吸的周期为最亮→最暗→最亮的时间,即t的值的变化:0→T→0逐渐变化,这个时间应该为2s
 ====硬件连接==== ====硬件连接====
 ------ ------
-了方便在硬件平台上演示,我们使用25MHz的系统时钟作为高频信号做分频处理,产生脉冲信号,通过调节脉冲信号的周期和正脉冲的宽度,最终生成我们需要的脉冲信号。 +呼吸灯的设计较简单,我们使用25MHz的系统时钟作为高频信号做分频处理,调整占空比实现PWM,通过LEDLD1示输出状态
- +
-三路按键输入:​ +
-  * key_menu:使用按键K5作为输入,按动K5按键控制周期和脉宽调节模式的切换。 +
-  * key_up:使用按键K1作为输入,按动K1按键根据所处的模式控制周期或脉宽参数的增加。 +
-  * key_down:使用按键K3作为输入,按动K3按键根据所处的模式控制周期或脉宽参数的减小。 +
-两路LED输出: +
-  * menu_state:使用小脚丫核心板上的LD1作为输出,当LED点亮或熄灭时分别表脉宽调节模式或周期调节模式。 +
-  * pulse_out:使用小脚丫核心板上的LD2作为输出,可以根据LED的亮度表示周期和脉宽的变化(注意:当输出低电平时LED点亮)+
  
 {{ :​led电路连接.jpg |LED电路连接}} {{ :​led电路连接.jpg |LED电路连接}}
  
-{{ :​图9.按键模块电路连接.png |按键模块电路连接}} 
 ====代码设计==== ====代码设计====
 ---- ----
 ===设计文件=== ===设计文件===
  
-{{ :脉冲发生程序设计.jpg |脉冲发生程序设计}}+{{ :呼吸灯程序设计.jpg |呼吸灯程序设计}}
  
-我们在硬件连接中也提到了脉冲发生器设计要的硬件资源作接口外设,计的接口定义如下:+呼吸灯设计要求呼吸周期2s,也就是说LED灯从最亮的状态开始,第一秒时间内逐渐变暗,第二秒的时间内再逐渐变亮,依次进行。 
 + 
 +计中需要两个计数器cnt1和cnt2cnt1随系统时钟同步计数(系统时钟上升沿时cnt1自加1)范围为0~T,cnt2随cnt1的周期同步计数(cnt1等于T时,cnt2自加1)范围也是0~T,这样每次cnt1在0~T的计数时,cnt2为一固定值,相邻cnt1数周期对应cnt2的值逐渐增大,我们将cnt1计数0~T的时间作为脉冲周期,cnt2的值作为脉冲宽度,则占空比 = cnt2/​T,​占空比从0%到100%的时间 = cnt2*cnt1 = T^2 = 1s = 25M个系统时钟,T = 5000,我们定义CNT_NUM = 5000作为两个计数器的计数最大值。 
 + 
 +{{ ::​呼吸灯pwm原理.jpg |PWM呼吸灯原理}}
  
 <code verilog> <code verilog>
-input clk_in,​ +parameter CNT_NUM = 5000 //​period = (5000^2)*2 = 50000000 = 2s
-input rst_n_in,​ +
-input key_menu,​ +
-input key_up,​ +
-input key_down,​ +
-output menu_state,​ +
-output reg pulse_out+
 </​code>​ </​code>​
  
-本设中我们用到三个按键作为输入,需要对按键输入做消抖处理,消抖模块的原理及设请参考[[按键消抖]]设计,这里我们以key_menu的消抖为例调用按键消抖模块,如下+数器cnt1随系统时钟从0~(CNT_NUM-1)循环,如下
  
 <code verilog> <code verilog>
-//Debounce for key_menu +//generate cnt1 signal 
-Debounce Debounce_menu(.clk(clk_in),.rst_n(rst_n_in),.key_n(key_menu),​.key_state(menu_state)); +always@(posedge ​clk_in ​or negedge rst_n_inbegin  
 + if(!rst_n_in) ​begin 
 + cnt1<​=13'​d0;​ 
 + end else begin 
 + if(cnt1>​=CNT_NUM-1cnt1<​=1'​b0; 
 + else cnt1<​=cnt1+1'​b1;​ 
 + end 
 +end
 </​code>​ </​code>​
  
-对三路按键做消抖后信号可以实现周期和脉宽的控制,本设中我们的分频比范围为2~16分级可调,0%<​脉宽<​100%分级可调,控制脉宽参数duty要始终小于周期参cycle实现方法如下+计数器cnt2随cnt1的周期从0~(CNT_NUM-1)循环计数,如下
  
 <code verilog> <code verilog>
-//Control cycle and duty cycle +//generate cnt2 signal 
-always @(posedge clk_in or negedge rst_n_in) begin  +always@(posedge clk_in or negedge rst_n_in) begin  
- if(!rst_n_in) begin  + if(!rst_n_in) begin 
- cycle<=4'd8+ cnt2<=13'd0
- duty<=4'd4;+ flag<=1'b0;
  end else begin  end else begin
- if(menu_state) begin + if(cnt1==CNT_NUM-1) begin 
- if(up_pulse && (cycle<​4'​d15)) cycle <= cycle + 4'd1; + if(!flagbegin 
- else ​if(down_pulse && (cycle>(duty+4'​d1))) cycle <= cycle - 4'd1+ if(cnt2>=CNT_NUM-1flag<=1'b1
- else cycle <= cycle+ else cnt2<=cnt2+1'​b1
- end else begin + end else begin 
- if(up_pulse && (cycle>​(duty+4'​d1))) duty <= duty + 4'd1+ if(cnt2<=0flag<=1'b0
- else if(down_pulse && (duty>​4'​d0)) duty <= duty 4'd1+ else cnt2<=cnt2-1'b1
- else ​duty <= duty; + end 
- end + end else cnt2<=cnt2
- end + end
 end end
 </​code>​ </​code>​
  
-最后根据周期参数cycle控制计数器的计数范围根据脉宽参数duty控制正电压脉冲的宽度,产生脉冲信号+最后将两个计数器cnt1和cnt2比较输出呼吸灯控制信号
  
 <code verilog> <code verilog>
-reg [3:​0] cnt;​ +//Compare cnt1 and cnt2, generate PWM-Breath-led 
-//counter for cycle +assign Breath_led ​= (cnt1<cnt2)?1'b0:1'b1;
-always @(posedge clk_in or negedge rst_n_in) begin  +
- if(!rst_n_in) begin  +
- cnt<=4'​d0;​ +
- end else begin +
- if(cnt>​=cycle) cnt<=4'​d0;​ +
- else cnt <= cnt + 4'​d1;​ +
- end  +
-end  +
- +
-//pulse generate with duty +
-always @(posedge clk_in or negedge rst_n_inbegin  +
- if(!rst_n_in) begin  +
- pulse_out<​=1'b1; +
- end else begin +
- if(cnt<​=duty) pulse_out<​=1'b1; +
- else pulse_out<​=1'​b0;​ +
- end  +
-end +
 </​code>​ </​code>​
  
 引脚分配如下: 引脚分配如下:
  
-^ 管脚名称 | clk_in| rst_n_in| ​key_menu|key_up|key_down|menu_state|pulse_out+^ 管脚名称 | clk_in| rst_n_in| ​Breath_led
-^ FPGA管脚 | C1    | A2      ​| A12     ​|B8 ​   |A10     |A3        ​|A7       +^ FPGA管脚 | C1    | A2      | A3        | 
-====运行结果==== +====仿真结果====
- +
-{{:​img20160614134850.jpg?​500 |LED灯亮度}}+
  
-{{:img20160614135014.jpg?500|示波器测量结果}}+{{:呼吸灯仿真.jpg|呼吸灯仿真}}
 ====资源报告==== ====资源报告====
  
 ^ 资源 | 数量 ​ | 比例 ​ | 说明 ​ | ^ 资源 | 数量 ​ | 比例 ​ | 说明 ​ |
-^ LUTs | 61   | 10%   ​| ​  |  +^ LUTs | 40   | 6%   ​| ​  |  
-^ 寄存器 | 80    ​| ​5% |   |+^ 寄存器 | 27    ​| ​2% |   |
 ^ 存储器 | 0  | 0%   ​| ​   | ^ 存储器 | 0  | 0%   ​| ​   |
-^ IO管脚 ​  ​| ​|   ​| ​   |+^ IO管脚 ​  ​| ​|   ​| ​   |
 ^ 时钟频率 | 25MHz |   ​| ​   | ^ 时钟频率 | 25MHz |   ​| ​   |
  
 ====知识点==== ====知识点====
  
-  * 按键消抖+  * 呼吸灯原理
   * PWM脉宽调节   * PWM脉宽调节
   * 脉冲发生原理   * 脉冲发生原理
行 141: 行 113:
  
 ====参考文档==== ====参考文档====
-  * [[按键消抖]]+  * [[脉冲发生器]]
   * {{:​machxo2familydatasheet.pdf|Lattice MachXO2数据手册}}   * {{:​machxo2familydatasheet.pdf|Lattice MachXO2数据手册}}
  
行 149: 行 121:
  
 ^ **文件名称** ​ | **功能** | ^ **文件名称** ​ | **功能** |
-^ **[[Pulse_gen.v]]** | **脉冲发生器TOP文件** | +^ **[[Breath_led.v]]** | **呼吸灯** | 
-^ **[[Debounce.v]]** | **按键消抖** |+^ **[[Breath_led_test.v]]** | **测试文件** |