制作FPGA电子琴
1. 存储一段音乐,并可以进行音乐播放, 2. 可以自己通过板上的按键进行弹奏,支持两个按键同时按下(和弦)并且声音不能失真,板上的按键只有13个,可以通过有上方的“上“、”下”两个按键对音程进行扩展
标签
FPGA
数字逻辑
DDS
2022暑假一起练
john
更新2022-09-02
837

基本功能

  1. 存储一段音乐,并可以进行音乐播放,
  2. 可以自己通过板上的按键进行弹奏,支持两个按键同时按下(和弦)并且声音不能失真,板上的按键只有13个,可以通过有上方的“上“、”下”两个按键对音程进行扩展
  3. 使用扬声器进行播放时,输出的音调信号除了对应于该音调的单频正弦波外,还必须包含至少一个谐波分量
  4. 音乐的播放支持两种方式,这两种方式可以通过开关进行切换:

      * 当开关切换到蜂鸣器端,可以通过蜂鸣器来进行音乐播放

      * 当开关切换到扬声器端,可以通过模拟扬声器来进行音乐播放,每个音符都必须包含基频 + 至少一个谐波分量

项目环境

  • Lattice Diamond v3.12: Diamond集成开发系统是Lattice最先进的设计和实现工具
  • Apio v0.8.1: 开放 FPGA 板的开源生态系统
  • VS code v1.60.0: 微软开发的跨平台源代码编辑器
  • Python v3.8: 是一种广泛使用的解释型、高级编程、通用型编程语言,Python的设计哲学强调代码的可读性和简洁的语法. 用于dds信号rom的生成, 以及音乐音符rom的生成
  • Pycharm 2021: Python IDE

硬件

  • 小脚丫FPGA核心板
  • 硬禾电子琴套件

系统框图

FmQZjrTT59ZcvXftOjQ_QEE7VyFj

分析蜂鸣器和模拟喇叭的差别

  1. 使用信号的格式不同:
  • 蜂鸣器使用的是对应频率的方波信号
  • 扬声器使用1bit DAC 调制好的的模拟信号
  1. 信号需要时钟的不同:
  • 蜂鸣器直接使用对应信号的频率
  • 扬声器需要的频率需要更高的频率去查表

用蜂鸣器和模拟喇叭的实现方法差别以及音效差别分析

  1. 蜂鸣器: 蜂鸣器使用的是方波信号, 声音比较刺耳.
  2. 扬声器: 使用的是正弦波或者, 或者是带谐波的正弦波, 声音会比较和谐.

模拟放大电路的仿真及分析

FgYjF1Pld4TchiNdNzoUpkFTefeV

一. 主要代码片段及说明

1. 带谐波的按键音频生成

  • 运用DDS的方案, 使用Python预先生成的带谐波分量的一个周期的音频采样素材, 预先存储在LUT表中. 根据指定音频率的phase信号, 读取即可产生对应音符频率的信号.
  • 注意, 音频信号的必须为带正负值的音频信号, 不可全部为正值的, 否则音频叠加时会出现失真的情况

1.1. 使用Python生成DDS LUT表:

import pandas as pd
from scipy import signal
import matplotlib.pyplot as plt


plt.figure()
width_max = 64
t = np.linspace(-1, 1, 64, endpoint=False)
# 生成1个周期,长度为64的时间序列
sig = np.sin(1 * np.pi * t)
sig2 = np.sin(4 * np.pi * t)*0.25




# 混合两个函数
sig = sig+1
sig2 = sig2+1
sig_mix = sig+sig2
# sig_mix = sig_mix
plt.plot(t, sig)
plt.plot(t, sig2)
plt.plot(t, sig_mix)

# 生成查找表


def gen_mem_signed_bin(value):
    # 生成多端口ram的查找表
    x = value
    x = int(x)
    x = "{:010b}".format(int(bin(x & 0b1111111111), 2))
    text = "{}".format(x)
    return x

sig_mix_up = sig_mix
sig_mix_up_max9bit = sig_mix_up*511/sig_mix_up.max()
plt.plot(sig_mix_up_max9bit)

text = (list(map(gen_mem,enumerate(y))))

输出内容:

mem[0]= 10'd0; mem[1]= -10'd1; mem[2]= -10'd7; mem[3]= -10'd25; mem[4]= -10'd57; mem[5]= -10'd103; mem[6]= -10'd162; mem[7]= -10'd231; mem[8]= -10'd304; mem[9]= -10'd373; mem[10]= -10'd433; mem[11]= -10'd478; mem[12]= -10'd504; mem[13]= -10'd511; mem[14]= -10'd497; mem[15]= -10'd469; mem[16]= -10'd430; mem[17]= -10'd386; mem[18]= -10'd345; mem[19]= -10'd312; mem[20]= -10'd289; mem[21]= -10'd280; mem[22]= -10'd281; mem[23]= -10'd291; mem[24]= -10'd304; mem[25]= -10'd314; mem[26]= -10'd315; mem[27]= -10'd302; mem[28]= -10'd272; mem[29]= -10'd224; mem[30]= -10'd159; mem[31]= -10'd83; mem[32]= 10'd0; mem[33]= 10'd83; mem[34]= 10'd159; mem[35]= 10'd224; mem[36]= 10'd272; mem[37]= 10'd302; mem[38]= 10'd315; mem[39]= 10'd314; mem[40]= 10'd304; mem[41]= 10'd291; mem[42]= 10'd281; mem[43]= 10'd280; mem[44]= 10'd289; mem[45]= 10'd312; mem[46]= 10'd345; mem[47]= 10'd386; mem[48]= 10'd430; mem[49]= 10'd469; mem[50]= 10'd497; mem[51]= 10'd511; mem[52]= 10'd504; mem[53]= 10'd478; mem[54]= 10'd433; mem[55]= 10'd373; mem[56]= 10'd304; mem[57]= 10'd231; mem[58]= 10'd162; mem[59]= 10'd103; mem[60]= 10'd57; mem[61]= 10'd25; mem[62]= 10'd7; mem[63]= 10'd1; In[40]: 

波形:

Fkkyvl6bpwCzpbg1A2E6lLu062Ic

1.2. 更多音频谐波组合:

通过调整相加的sin/cos信号的组合, 可以获得不同音色的信号. 这里可以使用这个网站进行组合的选择, 获得自己比较心仪的组合类型再通过Python进行实现, 这里我使用提琴音色.

https://meettechniek.info/additional/additive-synthesis.html

sig = np.sin(1 * np.pi * t) *0.995
sig2 = np.cos(2* np.pi * t) * 0.940
sig3 = np.sin(3 * np.pi * t) *0.425
sig4 = np.cos(4 * np.pi * t) *0.480
sig6 = np.cos(6 * np.pi * t) *0.365
sig7 = np.sin(7 * np.pi * t) *0.040
sig8 = np.cos(8 * np.pi * t) *0.085
sig10 = np.sin(10 * np.pi * t) *0.090


# 混合所有函数
sig_mix = sig+sig2+sig3+sig4+sig6+sig7+sig8+sig10

Fv6Ic6HRSYtPxHHmdxOxMFEySFyD

1.3 Verilog ds_memory
使用分时复用的思想, 通过多端口的方式可以节省LUT表的使用量, 分别检查不同按键产生的phase读取不同位置的LUT表, 达到生成波形的目的.

reg signed [data_0_WIDTH-1:0] mem [0:RAM_DEPTH-1];
reg signed [data_0_WIDTH-1:0] data_out[note_WIDTH-1:0]; 
assign data = data_out;
 
 
//initialization
 
// synopsys_translate_off
initial begin
mem[0]= 10'd0;
mem[1]= -10'd1;
mem[2]= -10'd7;
mem[3]= -10'd25;
mem[4]= -10'd57;
mem[5]= -10'd103;
mem[6]= -10'd162;
mem[7]= -10'd231;
mem[8]= -10'd304;
mem[9]= -10'd373;
mem[10]= -10'd433;
mem[11]= -10'd478;
mem[12]= -10'd504;
mem[13]= -10'd511;
mem[14]= -10'd497;
mem[15]= -10'd469;
mem[16]= -10'd430;
mem[17]= -10'd386;
mem[18]= -10'd345;
mem[19]= -10'd312;
mem[20]= -10'd289;
mem[21]= -10'd280;
mem[22]= -10'd281;
mem[23]= -10'd291;
mem[24]= -10'd304;
mem[25]= -10'd314;
mem[26]= -10'd315;
mem[27]= -10'd302;
mem[28]= -10'd272;
mem[29]= -10'd224;
mem[30]= -10'd159;
mem[31]= -10'd83;
mem[32]= 10'd0;
mem[33]= 10'd83;
mem[34]= 10'd159;
mem[35]= 10'd224;
mem[36]= 10'd272;
mem[37]= 10'd302;
mem[38]= 10'd315;
mem[39]= 10'd314;
mem[40]= 10'd304;
mem[41]= 10'd291;
mem[42]= 10'd281;
mem[43]= 10'd280;
mem[44]= 10'd289;
mem[45]= 10'd312;
mem[46]= 10'd345;
mem[47]= 10'd386;
mem[48]= 10'd430;
mem[49]= 10'd469;
mem[50]= 10'd497;
mem[51]= 10'd511;
mem[52]= 10'd504;
mem[53]= 10'd478;
mem[54]= 10'd433;
mem[55]= 10'd373;
mem[56]= 10'd304;
mem[57]= 10'd231;
mem[58]= 10'd162;
mem[59]= 10'd103;
mem[60]= 10'd57;
mem[61]= 10'd25;
mem[62]= 10'd7;
mem[63]= 10'd1;
end

integer i;
always @ (posedge clk)
begin 
  for (i = 0; i<note_WIDTH; i=i+1) begin
    if (phase[i][6]!=0) 
      data_out[i] = mem[phase[i][5:0]]; 
    else if (phase[i] == 0)
      data_out[i] = mem[phase[i][5:0]]; 
    else 
      data_out[i] = -1*(mem[~phase[i][5:0]]); 
  end
end

2. 多按键和弦生成
这一部分思路的如下, 1. 每个按键使用不同的频率生成器 2. 不同产生不同平吕的phase, 进入到ds_memory中控制波形的生成

2.1 phase生成

module sin_freq #(
    parameter count = 603
    //输入计数值*输入频率/128
) (
    input clk,
    input key,
    output wire [6:0] pahse_out
);

wire clk_phase;

clock_divider #(.DIVISOR(count)) u_clock_divider (
    .clock_in(clk),
    .clock_out(clk_phase)
);


reg  [6:0] phase;
assign pahse_out = phase;

always @(posedge clk_phase ) begin
    if (!key) begin
        phase <= phase+1;    
    end else begin
        phase <= 0;
    end
end

endmodule

2.2 每一个按键指定不同分频系数

分频系数计算

FhYTN4QIZX--FFg_oB9ESdAIRNlO

sin_freq #( .count(1433)) U_sin_0 ( .key(key_out[0]), .clk(clk), .pahse_out(phase[0]) );
sin_freq #( .count(1352)) U_sin_1 ( .key(key_out[1]), .clk(clk), .pahse_out(phase[1]) );
sin_freq #( .count(1276)) U_sin_2 ( .key(key_out[2]), .clk(clk), .pahse_out(phase[2]) );
sin_freq #( .count(1205)) U_sin_3 ( .key(key_out[3]), .clk(clk), .pahse_out(phase[3]) );
sin_freq #( .count(1137)) U_sin_4 ( .key(key_out[4]), .clk(clk), .pahse_out(phase[4]) );
sin_freq #( .count(1073)) U_sin_5 ( .key(key_out[5]), .clk(clk), .pahse_out(phase[5]) );
sin_freq #( .count(1013)) U_sin_6 ( .key(key_out[6]), .clk(clk), .pahse_out(phase[6]) );
sin_freq #( .count(956)) U_sin_7 ( .key(key_out[7]), .clk(clk), .pahse_out(phase[7]) );
sin_freq #( .count(902)) U_sin_8 ( .key(key_out[8]), .clk(clk), .pahse_out(phase[8]) );
sin_freq #( .count(852)) U_sin_9 ( .key(key_out[9]), .clk(clk), .pahse_out(phase[9]) );
sin_freq #( .count(804)) U_sin_10 ( .key(key_out[10]), .clk(clk), .pahse_out(phase[10]) );
sin_freq #( .count(759)) U_sin_11 ( .key(key_out[11]), .clk(clk), .pahse_out(phase[11]) );
sin_freq #( .count(716)) U_sin_12 ( .key(key_out[12]), .clk(clk), .pahse_out(phase[12]) );
sin_freq #( .count(676)) U_sin_13 ( .key(key_out[13]), .clk(clk), .pahse_out(phase[13]) );
sin_freq #( .count(638)) U_sin_14 ( .key(key_out[14]), .clk(clk), .pahse_out(phase[14]) );
sin_freq #( .count(602)) U_sin_15 ( .key(key_out[15]), .clk(clk), .pahse_out(phase[15]) );
sin_freq #( .count(568)) U_sin_16 ( .key(key_out[16]), .clk(clk), .pahse_out(phase[16]) );
sin_freq #( .count(536)) U_sin_17 ( .key(key_out[17]), .clk(clk), .pahse_out(phase[17]) );
sin_freq #( .count(506)) U_sin_18 ( .key(key_out[18]), .clk(clk), .pahse_out(phase[18]) );
sin_freq #( .count(478)) U_sin_19 ( .key(key_out[19]), .clk(clk), .pahse_out(phase[19]) );
sin_freq #( .count(451)) U_sin_20 ( .key(key_out[20]), .clk(clk), .pahse_out(phase[20]) );
sin_freq #( .count(426)) U_sin_21 ( .key(key_out[21]), .clk(clk), .pahse_out(phase[21]) );
sin_freq #( .count(402)) U_sin_22 ( .key(key_out[22]), .clk(clk), .pahse_out(phase[22]) );
sin_freq #( .count(379)) U_sin_23 ( .key(key_out[23]), .clk(clk), .pahse_out(phase[23]) );
sin_freq #( .count(358)) U_sin_24 ( .key(key_out[24]), .clk(clk), .pahse_out(phase[24]) );
sin_freq #( .count(338)) U_sin_25 ( .key(key_out[25]), .clk(clk), .pahse_out(phase[25]) );
sin_freq #( .count(319)) U_sin_26 ( .key(key_out[26]), .clk(clk), .pahse_out(phase[26]) );
sin_freq #( .count(301)) U_sin_27 ( .key(key_out[27]), .clk(clk), .pahse_out(phase[27]) );
sin_freq #( .count(284)) U_sin_28 ( .key(key_out[28]), .clk(clk), .pahse_out(phase[28]) );
sin_freq #( .count(268)) U_sin_29 ( .key(key_out[29]), .clk(clk), .pahse_out(phase[29]) );
sin_freq #( .count(253)) U_sin_30 ( .key(key_out[30]), .clk(clk), .pahse_out(phase[30]) );
sin_freq #( .count(239)) U_sin_31 ( .key(key_out[31]), .clk(clk), .pahse_out(phase[31]) );
sin_freq #( .count(225)) U_sin_32 ( .key(key_out[32]), .clk(clk), .pahse_out(phase[32]) );
sin_freq #( .count(213)) U_sin_33 ( .key(key_out[33]), .clk(clk), .pahse_out(phase[33]) );
sin_freq #( .count(201)) U_sin_34 ( .key(key_out[34]), .clk(clk), .pahse_out(phase[34]) );
sin_freq #( .count(189)) U_sin_35 ( .key(key_out[35]), .clk(clk), .pahse_out(phase[35]) );
sin_freq #( .count(179)) U_sin_36 ( .key(key_out[36]), .clk(clk), .pahse_out(phase[36]) );
sin_freq #( .count(169)) U_sin_37 ( .key(key_out[37]), .clk(clk), .pahse_out(phase[37]) );
sin_freq #( .count(159)) U_sin_38 ( .key(key_out[38]), .clk(clk), .pahse_out(phase[38]) );
sin_freq #( .count(150)) U_sin_39 ( .key(key_out[39]), .clk(clk), .pahse_out(phase[39]) );
sin_freq #( .count(142)) U_sin_40 ( .key(key_out[40]), .clk(clk), .pahse_out(phase[40]) );
sin_freq #( .count(134)) U_sin_41 ( .key(key_out[41]), .clk(clk), .pahse_out(phase[41]) );
sin_freq #( .count(126)) U_sin_42 ( .key(key_out[42]), .clk(clk), .pahse_out(phase[42]) );
sin_freq #( .count(119)) U_sin_43 ( .key(key_out[43]), .clk(clk), .pahse_out(phase[43]) );
sin_freq #( .count(112)) U_sin_44 ( .key(key_out[44]), .clk(clk), .pahse_out(phase[44]) );
sin_freq #( .count(106)) U_sin_45 ( .key(key_out[45]), .clk(clk), .pahse_out(phase[45]) );
sin_freq #( .count(100)) U_sin_46 ( .key(key_out[46]), .clk(clk), .pahse_out(phase[46]) );
sin_freq #( .count(94)) U_sin_47 ( .key(key_out[47]), .clk(clk), .pahse_out(phase[47]) );
sin_freq #( .count(89)) U_sin_48 ( .key(key_out[48]), .clk(clk), .pahse_out(phase[48]) );

2.3 通过数值相加的方法把多个声音信号相加, 最后通过deltasigma将信号调制为PWM信号

always @(posedge clk) begin
    sin_out_mix1 = 0;
  for (index_note = 1; index_note<note_WIDTH; index_note++ ) begin
        sin_out_mix1 = sin_out_mix1+sin_out[index_note];
    end
end


dsa_single #(.dac_bw(14)) u_deltasigma1(
    .din_unsign(sin_out_mix1),
    .dout(pwm_out[0]),
    .clk(clk),
    .rst_n(rst)
);

3. PWM信号调制

module dsa_single #(
	parameter dac_bw = 10
)(

	input	wire				clk,
	input	wire				rst_n,
	input	wire	[dac_bw-1 : 0]	din_unsign,
	output	wire				dout
);
	
    wire [dac_bw-1:0] din;
    // assign din = (din_unsign>>1)- (2**(dac_bw - 1));
    assign din = din_unsign + 10'b1111111111;
	localparam bw_ext = 2;
	localparam bw_tot = dac_bw + bw_ext;
	
	reg						dout_r;
	reg						dac_dout;
	
	reg signed		[bw_tot-1 : 0]	DAC_acc_1st;
	
	wire signed		[bw_tot-1 : 0]	max_val = (2**(dac_bw - 1) - 1);
	wire signed		[bw_tot-1 : 0]	min_val = -(2**(dac_bw - 1));
	wire signed		[bw_tot-1 : 0]	dac_val = (!dout_r) ? max_val : min_val;
	
	wire signed		[bw_tot-1 : 0]	in_ext = {{bw_ext{din[dac_bw - 1]}}, din};
	wire signed		[bw_tot-1 : 0]	delta_s0_c0 = in_ext + dac_val;
	wire signed		[bw_tot-1 : 0]	delta_s0_c1 = DAC_acc_1st + delta_s0_c0;

	always@(posedge clk)begin
		if(!rst_n)begin
			DAC_acc_1st <= 'd0;
		end else begin
			DAC_acc_1st <= delta_s0_c1;
		end
	end
	
	always@(posedge clk)begin
		if(!rst_n)begin
			dout_r		<= 1'b0;
			dac_dout	<= 1'b0;
		end else begin
			dout_r		<= delta_s0_c1[bw_tot-1];
			dac_dout	<= ~dout_r;
		end
	end
	
	assign dout = dout_r;
	
endmodule

4. 音符生成

通过使用在线工具将midi转换为文本信息, 接着通过python将文本信息处理为音符按键的二进制文件, 通过diamond的RAM IP核将二进制文件导入到项目中

text = """0 D5 2 43 0.6299212574958801;0 D6 2 43 0.6299212574958801;2 F5 2 43 0.6299212574958801;2 F6 2 43 0.6299212574958801;4 G5 3 43 0.6299212574958801;4 G6 3 43 0.6299212574958801;7 D#5 3 43 0.6299212574958801;7 D#6 3 43 0.6299212574958801;10 D5 2 43 0.6299212574958801;10 D6 2 43 0.6299212574958801;12 C5 2 43 0.6299212574958801;12 C6 2 43 0.6299212574958801;14 A#4 2 43 0.6299212574958801;14 A#5 2 43 0.6299212574958801;16 C5 2 43 0.6299212574958801;16 C6 2 43 0.6299212574958801;18 G6 2 43 0.6299212574958801;20 A5 2 43 0.6299212574958801;20 F6 2 43 0.6299212574958801;22 G6 1 43 0.6299212574958801;23 C6 2 43 0.6299212574958801;23 D6 2 43 0.6299212574958801;25 A#5 1 43 0.6299212574958801;26 C6 2 43 0.6299212574958801;28 D5 4 43 0.6299212574958801;28 F5 4 43 0.6299212574958801;28 A#5 4 43 0.6299212574958801;32 G4 2 43 0.6299212574958801;32 G5 2 43 0.6299212574958801;34 A#4 2 43 0.6299212574958801;34 A#5 2 43 0.6299212574958801;36 C5 3 43 0.6299212574958801;36 C6 3 43 0.6299212574958801;39 A4 3 43 0.6299212574958801;39 A5 3 43 0.6299212574958801;42 D#5 2 43 0.6299212574958801;42 D#6 2 43 0.6299212574958801;44 D5 2 43 0.6299212574958801;44 D6 2 43 0.6299212574958801;46 D5 2 43 0.6299212574958801;46 D6 2 43 0.6299212574958801;48 C5 2 43 0.6299212574958801;48 C6 2 43 0.6299212574958801;50 A4 2 43 0.6299212574958801;50 A5 2 43 0.6299212574958801;52 A#4 3 43 0.6299212574958801;52 A#5 3 43 0.6299212574958801;55 A4 3 43 0.6299212574958801;55 A5 3 43 0.6299212574958801;58 F4 2 43 0.6299212574958801;58 F5 2 43 0.6299212574958801;60 G4 2 43 0.6299212574958801;60 G5 2 43 0.6299212574958801;62 F5 2 43 0.6299212574958801;62 F6 2 43 0.6299212574958801;64 D5 2 43 0.6299212574958801;64 D6 2 43 0.6299212574958801;66 F5 2 43 0.6299212574958801;66 F6 2 43 0.6299212574958801;68 G5 3 43 0.6299212574958801;68 D#6 3 43 0.6299212574958801;68 G6 3 43 0.6299212574958801;71 D#5 3 43 0.6299212574958801;71 D#6 3 43 0.6299212574958801;74 D5 2 43 0.6299212574958801;74 D6 2 43 0.6299212574958801;76 C5 2 43 0.6299212574958801;76 F5 2 43 0.6299212574958801;76 C6 2 43 0.6299212574958801;78 A5 2 43 0.6299212574958801;78 A6 2 43 0.6299212574958801;80 G5 2 43 0.6299212574958801;80 G6 2 43 0.6299212574958801;82 F5 2 43 0.6299212574958801;82 F6 2 43 0.6299212574958801;84 F5 2 43 0.6299212574958801;84 F6 2 43 0.6299212574958801;86 G5 2 43 0.6299212574958801;86 G6 2 43 0.6299212574958801;88 A5 2 43 0.6299212574958801;88 A6 2 43 0.6299212574958801;90 A#5 4 43 0.6299212574958801;90 D6 4 43 0.6299212574958801;90 A#6 4 43 0.6299212574958801;94 G5 2 43 0.6299212574958801;94 D6 2 43 0.6299212574958801;96 C6 2 43 0.6299212574958801;98 D6 1 43 0.6299212574958801;99 C6 1 43 0.6299212574958801;100 D5 3 43 0.6299212574958801;100 G5 3 43 0.6299212574958801;100 A#5 3 43 0.6299212574958801;103 G5 3 43 0.6299212574958801;106 A#5 2 43 0.6299212574958801;108 F#5 4 43 0.6299212574958801;108 A5 4 43 0.6299212574958801;112 C#5 1 43 0.6299212574958801;112.55999755859375 D5 3 43 0.6299212574958801;118 A#5 2 43 0.6299212574958801;118 D6 2 43 0.6299212574958801;120 A#5 4 43 0.6299212574958801;120 D6 4 43 0.6299212574958801;124 A#5 3 43 0.6299212574958801;124 D6 4 43 0.6299212574958801;128 F5 1 43 0.6299212574958801;129 G5 1 43 0.6614173054695129;130 A#5 1 43 0.6929134130477905;131 F6 1 43 0.7244094610214233;132 G5 1 43 0.7559055089950562;132 G6 1 43 0.7559055089950562;133 F5 2 43 0.7559055089950562;133 F6 2 43 0.7559055089950562;135 D5 3 43 0.7559055089950562;135 D6 3 43 0.7559055089950562;138 G4 2 43 0.7559055089950562;138 G5 2 43 0.7559055089950562;140 A#4 2 43 0.7559055089950562;140 A#5 2 43 0.7559055089950562;142 C5 2 43 0.7559055089950562;142 C6 2 43 0.7559055089950562;144 D5 1 43 0.7559055089950562;144 D6 1 43 0.7559055089950562;145 G5 1 43 0.7559055089950562;146 A#5 1 43 0.7559055089950562;146 A#6 1 43 0.7559055089950562;147 A5 1 43 0.7559055089950562;147 A6 1 43 0.7559055089950562;148 F5 1 43 0.7559055089950562;148 F6 1 43 0.7559055089950562;149 D5 2 43 0.7559055089950562;149 D6 2 43 0.7559055089950562;151 F5 3 43 0.7559055089950562;151 F6 3 43 0.7559055089950562;154 G5 2 43 0.7559055089950562;154 G6 2 43 0.7559055089950562;156 F5 2 43 0.7559055089950562;156 F6 2 43 0.7559055089950562;158 D5 2 43 0.7559055089950562;158 D6 2 43 0.7559055089950562;160 C5 2 43 0.7559055089950562;160 C6 2 43 0.7559055089950562;162 G4 2 43 0.7559055089950562;162 G5 2 43 0.7559055089950562;164 D5 1 43 0.7559055089950562;164 D6 1 43 0.7559055089950562;165 C5 2 43 0.7559055089950562;165 C6 2 43 0.7559055089950562;167 A#4 3 43 0.7559055089950562;167 A#5 3 43 0.7559055089950562;170 G4 2 43 0.7559055089950562;170 G5 2 43 0.7559055089950562;172 F#4 2 43 0.7559055089950562;172 F#5 2 43 0.7559055089950562;174 D#5 2 43 0.7559055089950562;174 D#6 2 43 0.7559055089950562;176 D5 2 43 0.7559055089950562;176 D6 2 43 0.7559055089950562;178 A4 2 43 0.7559055089950562;178 A5 2 43 0.7559055089950562;180 C5 2 43 0.7559055089950562;180 C6 2 43 0.7559055089950562;182 A#4 1 43 0.7559055089950562;182 A#5 1 43 0.7559055089950562;183 F5 3 43 0.7559055089950562;183 F6 3 43 0.7559055089950562;186 D#5 2 43 0.7559055089950562;186 D#6 2 43 0.7559055089950562;188 D5 2 43 0.7559055089950562;188 D6 2 43 0.7559055089950562;190 F4 2 43 0.7559055089950562;190 F5 2 43 0.7559055089950562;192 F5 1 43 0.7559055089950562;193 G5 1 43 0.7559055089950562;194 A#5 1 43 0.7559055089950562;195 F6 1 43 0.7559055089950562;196 D5 1 43 0.7559055089950562;196 D6 1 43 0.7559055089950562;197 C5 2 43 0.7559055089950562;197 C6 2 43 0.7559055089950562;199 A#4 3 43 0.7559055089950562;199 A#5 3 43 0.7559055089950562;202 G4 2 43 0.7559055089950562;202 G5 2 43 0.7559055089950562;204 A#4 2 43 0.7559055089950562;204 A#5 2 43 0.7559055089950562;206 C5 2 43 0.7559055089950562;206 C6 2 43 0.7559055089950562;208 D5 1 43 0.7559055089950562;208 D6 1 43 0.7559055089950562;209 G5 1 43 0.7559055089950562;210 A#5 1 43 0.7559055089950562;210 A#6 1 43 0.7559055089950562;211 A5 1 43 0.7559055089950562;211 A6 1 43 0.7559055089950562;212 F5 1 43 0.7559055089950562;212 F6 1 43 0.7559055089950562;213 D5 2 43 0.7559055089950562;213 D6 2 43 0.7559055089950562;215 F5 3 43 0.7559055089950562;215 F6 3 43 0.7559055089950562;218 G5 2 43 0.7559055089950562;218 G6 2 43 0.7559055089950562;220 F5 2 43 0.7559055089950562;220 F6 2 43 0.7559055089950562;222 D5 2 43 0.7559055089950562;222 D6 2 43 0.7559055089950562;224 C5 1 43 0.7559055089950562;224 C6 1 43 0.7559055089950562;225 G5 1 43 0.7559055089950562;226 A#5 1 43 0.7559055089950562;227 F6 1 43 0.7559055089950562;228 D5 1 43 0.7559055089950562;228 D6 1 43 0.7559055089950562;229 C5 2 43 0.7559055089950562;229 C6 2 43 0.7559055089950562;231 A#4 3 43 0.7559055089950562;231 A#5 3 43 0.7559055089950562;234 G4 2 43 0.7559055089950562;234 G5 2 43 0.7559055089950562;236 F#4 2 43 0.7559055089950562;236 F#5 2 43 0.7559055089950562;238 D#5 2 43 0.7559055089950562;238 D#6 2 43 0.7559055089950562;240 D5 2 43 0.7559055089950562;240 D6 2 43 0.7559055089950562;242 A4 2 43 0.7559055089950562;242 A5 2 43 0.7559055089950562;246 F5 1 43 0.7559055089950562;247 G5 1 43 0.7559055089950562;248 A#5 1 43 0.7559055089950562;249 C6 1 43 0.7559055089950562;250 D6 1 43 0.7559055089950562;251 G6 1 43 0.7559055089950562;252 F6 1 43 0.7559055089950562;253 C6 1 43 0.7559055089950562;254 D6 1 43 0.7559055089950562;255 G5 1 43 0.7559055089950562;256 A#5 4 43 0.7559055089950562;260 G5 2 43 0.7559055089950562;262 A5 2 43 0.7559055089950562;264 A#5 2 43 0.7559055089950562;266 A5 2 43 0.7559055089950562;270 F5 2 43 0.7559055089950562;272 D5 2 43 0.7559055089950562;274 F5 2 43 0.7559055089950562;278 G5 2 43 0.7559055089950562;282 F5 2 43 0.7559055089950562;284 F5 2 43 0.7559055089950562;286 G5 2 43 0.7559055089950562;288 A#5 2 43 0.7559055089950562;290 C6 2 43 0.7559055089950562;292 D6 2 43 0.7559055089950562;294 F5 2 43 0.7559055089950562;296 G5 2 43 0.7559055089950562;298 D6 2 43 0.7559055089950562;300 C6 2 43 0.7559055089950562;302 F6 2 43 0.7559055089950562;304 D#6 2 43 0.7559055089950562;306 D#6 2 43 0.7559055089950562;310 D6 2 43 0.7559055089950562;314 D6 2 43 0.7559055089950562;316 G6 2 43 0.7559055089950562;318 F6 2 43 0.7559055089950562;320 C6 0 43 0.7559055089950562;320.5 C#6 0 43 0.7559055089950562;321 D6 1 43 0.7559055089950562;322 C6 2 43 0.7559055089950562;324 A#5 2 43 0.7559055089950562;326 G5 2 43 0.7559055089950562;328 A#5 2 43 0.7559055089950562;330 G5 2 43 0.7559055089950562;332 A#5 3 43 0.7559055089950562;335 C6 3 43 0.7559055089950562;338 A#5 2 43 0.7559055089950562;340 A5 2 43 0.7559055089950562;342 D6 1 43 0.7559055089950562;343 A5 2 43 0.7559055089950562;345 F5 3 43 0.7559055089950562;348 G5 4 43 0.7559055089950562;352 G5 2 43 0.7559055089950562;354 A5 2 43 0.7559055089950562;356 A#5 2 43 0.7559055089950562;358 C6 2 43 0.7559055089950562;360 D6 2 43 0.7559055089950562;362 C5 4 43 0.7559055089950562;362 F#5 4 43 0.7559055089950562;362 C6 4 43 0.7559055089950562;366 A#5 2 43 0.7559055089950562;368 A5 2 43 0.7559055089950562;370 A5 4 43 0.7559055089950562;374 D5 1 43 0.7559055089950562;374 G5 1 43 0.7559055089950562;376 D5 4 43 0.7559055089950562;376 G5 4 43 0.7559055089950562;386 F5 2 43 0.7559055089950562;386 F6 2 43 0.7559055089950562;388 G5 2 43 0.7559055089950562;388 G6 2 43 0.7559055089950562;390 A5 2 43 0.7559055089950562;390 A6 2 43 0.7559055089950562;392 A#5 2 43 0.7559055089950562;392 A#6 2 43 0.7559055089950562;394 C6 4 43 0.7559055089950562;394 F6 4 43 0.7559055089950562;394 A6 4 43 0.7559055089950562;398 C6 2 43 0.7559055089950562;398 F6 2 43 0.7559055089950562;400 D6 2 43 0.7559055089950562;402 F6 4 43 0.7559055089950562;406 A#5 2 43 0.7559055089950562;406 G6 2 43 0.7559055089950562;410 F5 2 43 0.7559055089950562;410 F6 2 43 0.7559055089950562;412 F5 2 43 0.7559055089950562;412 F6 2 43 0.7559055089950562;414 G4 2 43 0.7559055089950562;414 G5 2 43 0.7559055089950562;416 A#4 2 43 0.7559055089950562;416 A#5 2 43 0.7559055089950562;418 F5 2 43 0.7559055089950562;418 F6 2 43 0.7559055089950562;420 G5 2 43 0.7559055089950562;420 D6 2 43 0.7559055089950562;422 C6 2 43 0.7559055089950562;424 D6 2 43 0.7559055089950562;426 F6 2 43 0.7559055089950562;428 A5 2 43 0.7559055089950562;428 G6 2 43 0.7559055089950562;430 F6 2 43 0.7559055089950562;432 D6 2 43 0.7559055089950562;434 G5 4 43 0.7559055089950562;434 C6 4 43 0.7559055089950562;438 G5 4 43 0.7559055089950562;438 A#5 4 43 0.7559055089950562;438 D6 4 43 0.7559055089950562;442 D5 2 43 0.7559055089950562;442 A#5 2 43 0.7559055089950562;442 D6 2 43 0.7559055089950562;444 G5 2 43 0.7559055089950562;444 G6 2 43 0.7559055089950562;446 F5 2 43 0.7559055089950562;446 F6 2 43 0.7559055089950562;448 D5 2 43 0.7559055089950562;448 A#5 2 43 0.7559055089950562;448 D6 2 43 0.7559055089950562;450 C5 2 43 0.7559055089950562;450 C6 2 43 0.7559055089950562;452 D5 2 43 0.7559055089950562;452 F5 2 43 0.7559055089950562;452 A#5 2 43 0.7559055089950562;454 D5 2 43 0.7559055089950562;454 G5 2 43 0.7559055089950562;456 D5 2 43 0.7559055089950562;456 F5 2 43 0.7559055089950562;456 A#5 2 43 0.7559055089950562;458 D5 2 43 0.7559055089950562;458 G5 2 43 0.7559055089950562;460 C5 3 43 0.7559055089950562;460 F5 3 43 0.7559055089950562;460 A#5 3 43 0.7559055089950562;463 C5 3 43 0.7559055089950562;463 F5 3 43 0.7559055089950562;463 C6 3 43 0.7559055089950562;466 F5 2 43 0.7559055089950562;466 A#5 2 43 0.7559055089950562;468 D5 2 43 0.7559055089950562;468 A5 2 43 0.7559055089950562;470 D5 1 43 0.7559055089950562;470 D6 1 43 0.7559055089950562;471 A5 2 43 0.7559055089950562;473 C5 3 43 0.7559055089950562;473 F5 3 43 0.7559055089950562;476 D5 4 43 0.7559055089950562;476 G5 4 43 0.7559055089950562;480 G4 2 43 0.7559055089950562;480 G5 2 43 0.7559055089950562;482 A4 2 43 0.7559055089950562;482 A5 2 43 0.7559055089950562;484 A#4 2 43 0.7559055089950562;484 A#5 2 43 0.7559055089950562;486 C5 2 43 0.7559055089950562;486 C6 2 43 0.7559055089950562;488 D5 2 43 0.7559055089950562;488 D6 2 43 0.7559055089950562;490 C5 4 43 0.7559055089950562;490 F#5 4 43 0.7559055089950562;490 C6 4 43 0.7559055089950562;494 A#5 2 43 0.7559055089950562;496 A5 2 43 0.7559055089950562;498 C5 4 43 0.7559055089950562;498 A5 4 43 0.7559055089950562;498 C6 4 43 0.7559055089950562;502 A#4 2 43 0.7559055089950562;502 G5 2 43 0.7559055089950562;510 D5 0 43 0.7559055089950562;510 F5 0 43 0.7559055089950562;511 D5 0 43 0.7559055089950562;511 F5 0 43 0.7559055089950562;512 D5 2 43 0.7559055089950562;512 F5 2 43 0.7559055089950562;514 D5 2 43 0.7559055089950562;514 G5 2 43 0.7559055089950562;516 D5 1 43 0.7559055089950562;516 A#5 1 43 0.7559055089950562;518 D5 1 43 0.7559055089950562;518 G5 1 43 0.7559055089950562;519 D5 1 43 0.7559055089950562;519 A#5 1 43 0.7559055089950562;521 D5 1 43 0.7559055089950562;521 G5 1 43 0.7559055089950562;522 D5 3 43 0.7559055089950562;522 A#5 3 43 0.7559055089950562;525 G5 1 43 0.7559055089950562;526 D6 1 43 0.7559055089950562;527 D6 1 43 0.7559055089950562;528 C6 2 43 0.7559055089950562;530 D6 2 43 0.7559055089950562;532 D5 1 43 0.7559055089950562;532 C6 1 43 0.7559055089950562;534 A5 1 43 0.7559055089950562;535 F5 1 43 0.7559055089950562;537 F5 1 43 0.7559055089950562;538 D5 3 43 0.7559055089950562;538 G5 3 43 0.7559055089950562;541 D6 1 43 0.7559055089950562;542 D6 1 43 0.7559055089950562;543 D6 1 43 0.7559055089950562;544 D6 1 43 0.7559055089950562;545 D#6 1 43 0.7559055089950562;546 F6 1 43 0.7559055089950562;547 G5 3 43 0.7559055089950562;547 D6 3 43 0.7559055089950562;550 A#5 1 43 0.7559055089950562;551 G5 1 43 0.7559055089950562;552 A#5 1 43 0.7559055089950562;553 C6 1 43 0.7559055089950562;554 C6 1 43 0.7559055089950562;555 F#5 3 43 0.7559055089950562;555 D6 3 43 0.7559055089950562;558 A#5 1 43 0.7559055089950562;559 G5 1 43 0.7559055089950562;560 A#5 1 43 0.7559055089950562;561 G5 1 43 0.7559055089950562;562 A#5 1 43 0.7559055089950562;563 D5 1 43 0.7559055089950562;563 G5 1 43 0.7559055089950562;563 C6 1 43 0.7559055089950562;566 D5 2 43 0.7559055089950562;566 G5 2 43 0.7559055089950562;566 D6 2 43 0.7559055089950562;570 D5 2 43 0.7559055089950562;570 G5 2 43 0.7559055089950562;570 D6 2 43 0.7559055089950562;572 D#5 2 43 0.7559055089950562;572 D#6 2 43 0.7559055089950562;574 F5 1 43 0.7559055089950562;574 F6 1 43 0.7559055089950562;575 D5 1 43 0.7559055089950562;575 D6 1 43 0.7559055089950562;577 C5 1 43 0.7559055089950562;577 C6 1 43 0.7559055089950562;578 A#4 2 43 0.7559055089950562;578 A#5 2 43 0.7559055089950562;582 D5 2 43 0.7559055089950562;582 G5 2 43 0.7559055089950562;584 G5 2 43 0.7559055089950562;584 A#5 2 43 0.7559055089950562;586 A#5 2 43 0.7559055089950562;586 D6 2 43 0.7559055089950562;588 A5 2 43 0.7559055089950562;588 C6 2 43 0.7559055089950562;590 G5 2 43 0.7559055089950562;590 A#5 2 43 0.7559055089950562;592 G5 2 43 0.7559055089950562;592 A#5 2 43 0.7559055089950562;594 A5 2 43 0.7559055089950562;594 C6 2 43 0.7559055089950562;596 A#5 2 43 0.7559055089950562;596 D6 2 43 0.7559055089950562;598 D6 2 43 0.7559055089950562;598 F6 2 43 0.7559055089950562;600 A#5 2 43 0.7559055089950562;600 D6 2 43 0.7559055089950562;602 A5 4 43 0.7559055089950562;602 C6 4 43 0.7559055089950562;606 A#5 2 43 0.7559055089950562;608 A5 2 43 0.7559055089950562;610 F5 2 43 0.7559055089950562;612 D5 2 43 0.7559055089950562;612 G5 2 43 0.7559055089950562;614 F5 2 43 0.7559055089950562;616 G5 2 43 0.7559055089950562;618 A#4 4 43 0.7559055089950562;618 A#5 4 43 0.7559055089950562;622 G4 2 43 0.7559055089950562;622 G5 2 43 0.7559055089950562;624 A#4 2 43 0.7559055089950562;624 A#5 2 43 0.7559055089950562;626 D#5 2 43 0.7559055089950562;626 D#6 2 43 0.7559055089950562;638 A#5 2 43 0.7559055089950562;638 A#6 2 43 0.7559055089950562;640 A5 2 43 0.7559055089950562;640 A6 2 43 0.7559055089950562;642 F5 2 43 0.7559055089950562;642 F6 2 43 0.7559055089950562;644 G5 3 43 0.7559055089950562;644 A#5 3 43 0.7559055089950562;644 F6 3 43 0.7559055089950562;647 G5 2 43 0.7559055089950562;647 A#5 2 43 0.7559055089950562;647 G6 2 43 0.7559055089950562;649 G5 3 43 0.7559055089950562;649 A#5 3 43 0.7559055089950562;649 D6 3 43 0.7559055089950562;652 A5 2 43 0.7559055089950562;652 C6 2 43 0.7559055089950562;654 A#5 2 43 0.7559055089950562;656 C6 2 43 0.7559055089950562;658 G6 2 43 0.7559055089950562;660 A5 2 43 0.7559055089950562;660 F6 2 43 0.7559055089950562;662 A5 1 43 0.7559055089950562;662 C6 1 43 0.7559055089950562;663 F5 1 43 0.7559055089950562;663 A#5 1 43 0.7559055089950562;665 A#5 1 43 0.7559055089950562;666 C6 2 43 0.7559055089950562;668 A#4 2 43 0.7559055089950562;668 F5 2 43 0.7559055089950562;668 A#5 2 43 0.7559055089950562;670 C5 2 43 0.7559055089950562;672 D5 2 43 0.7559055089950562;672 F5 2 43 0.7559055089950562;672 A#5 2 43 0.7559055089950562;674 F6 2 43 0.7559055089950562;676 G5 2 43 0.7559055089950562;676 A#5 2 43 0.7559055089950562;676 D#6 2 43 0.7559055089950562;678 D6 2 43 0.7559055089950562;680 C6 2 43 0.7559055089950562;682 A#5 2 43 0.7559055089950562;684 F#5 2 43 0.7559055089950562;684 A5 2 43 0.7559055089950562;686 A#5 2 43 0.7559055089950562;688 F#5 2 43 0.7559055089950562;688 A5 2 43 0.7559055089950562;688 C6 2 43 0.7559055089950562;690 D#6 2 43 0.7559055089950562;692 G5 2 43 0.7559055089950562;692 D6 2 43 0.7559055089950562;694 C6 1 43 0.7559055089950562;695 D6 1 43 0.7559055089950562;697 G5 3 43 0.7559055089950562;697 G6 3 43 0.7559055089950562;700 G#5 4 43 0.7559055089950562;700 F6 4 43 0.7559055089950562;704 D5 2 43 0.7559055089950562;704 G#5 2 43 0.7559055089950562;704 A#5 2 43 0.7559055089950562;704 D6 2 43 0.7559055089950562;706 F5 2 43 0.7559055089950562;706 F6 2 43 0.7559055089950562;708 G5 3 43 0.7559055089950562;708 A#5 3 43 0.7559055089950562;708 G6 3 43 0.7559055089950562;711 G5 3 43 0.7559055089950562;711 A#5 3 43 0.7559055089950562;711 D#6 3 43 0.7559055089950562;714 D6 2 43 0.7559055089950562;716 C5 2 43 0.7559055089950562;716 F5 2 43 0.7559055089950562;718 A#5 2 43 0.7559055089950562;720 C6 2 43 0.7559055089950562;722 G6 2 43 0.7559055089950562;724 A5 2 43 0.7559055089950562;724 F6 2 43 0.7559055089950562;726 G6 1 43 0.7559055089950562;727 C6 1 43 0.7559055089950562;727 D6 1 43 0.7559055089950562;729 A#5 1 43 0.7559055089950562;730 C6 2 43 0.7559055089950562;732 D5 4 43 0.7559055089950562;732 F5 4 43 0.7559055089950562;732 A#5 4 43 0.7559055089950562;736 G4 2 43 0.7559055089950562;736 G5 2 43 0.7559055089950562;738 A4 2 43 0.7559055089950562;738 A5 2 43 0.7559055089950562;740 A#4 2 43 0.7559055089950562;740 A#5 2 43 0.7559055089950562;742 G4 2 43 0.7559055089950562;742 G5 2 43 0.7559055089950562;744 A#4 2 43 0.7559055089950562;744 A#5 2 43 0.7559055089950562;746 C5 2 43 0.7559055089950562;746 C6 2 43 0.7559055089950562;748 D5 2 43 0.7559055089950562;748 D6 2 43 0.7559055089950562;750 C5 2 43 0.7559055089950562;750 C6 2 43 0.7559055089950562;752 D5 2 43 0.7559055089950562;752 D6 2 43 0.7559055089950562;754 G5 2 43 0.7559055089950562;754 G6 2 43 0.7559055089950562;756 F#5 4 43 0.7559055089950562;756 D6 4 43 0.7559055089950562;762 A#4 2 43 0.7559055089950562;762 A#5 2 43 0.7559055089950562;764 A#4 2 43 0.7559055089950562;764 A#5 2 43 0.7559055089950562;766 A#5 2 43 0.7559055089950562;766 A#6 2 43 0.7559055089950562;768 A5 2 43 0.7559055089950562;768 F6 2 43 0.7559055089950562;770 F5 2 43 0.7559055089950562;770 F6 2 43 0.7559055089950562;772 G5 3 43 0.7559055089950562;772 A#5 3 43 0.7559055089950562;772 F6 3 43 0.7559055089950562;775 G5 2 43 0.7559055089950562;775 A#5 2 43 0.7559055089950562;775 G6 2 43 0.7559055089950562;777 G5 3 43 0.7559055089950562;777 A#5 3 43 0.7559055089950562;777 D6 3 43 0.7559055089950562;780 A5 2 43 0.7559055089950562;780 C6 2 43 0.7559055089950562;782 A#5 2 43 0.7559055089950562;784 C6 2 43 0.7559055089950562;786 G6 2 43 0.7559055089950562;788 A5 2 43 0.7559055089950562;788 F6 2 43 0.7559055089950562;790 G6 1 43 0.7559055089950562;791 C6 2 43 0.7559055089950562;791 D6 2 43 0.7559055089950562;793 A#5 1 43 0.7559055089950562;794 C6 2 43 0.7559055089950562;796 D5 4 43 0.7559055089950562;796 F5 4 43 0.7559055089950562;796 A#5 4 43 0.7559055089950562;800 A#4 2 43 0.7559055089950562;800 A#5 2 43 0.7559055089950562;802 F5 2 43 0.7559055089950562;802 F6 2 43 0.7559055089950562;804 G5 2 43 0.7559055089950562;804 A#5 2 43 0.7559055089950562;804 D#6 2 43 0.7559055089950562;806 D6 2 43 0.7559055089950562;808 C6 2 43 0.7559055089950562;810 A#5 2 43 0.7559055089950562;812 F#5 2 43 0.7559055089950562;812 A5 2 43 0.7559055089950562;814 A#5 2 43 0.7559055089950562;816 F#5 2 43 0.7559055089950562;816 A5 2 43 0.7559055089950562;816 C6 2 43 0.7559055089950562;818 D#6 2 43 0.7559055089950562;820 G5 2 43 0.7559055089950562;820 D6 2 43 0.7559055089950562;822 C6 1 43 0.7559055089950562;823 D6 1 43 0.7559055089950562;825 G5 3 43 0.7559055089950562;825 G6 3 43 0.7559055089950562;828 G#5 4 43 0.7559055089950562;828 A#5 4 43 0.7559055089950562;828 F6 4 43 0.7559055089950562;832 D5 2 43 0.7559055089950562;832 G#5 2 43 0.7559055089950562;832 A#5 2 43 0.7559055089950562;832 D6 2 43 0.7559055089950562;834 F5 2 43 0.7559055089950562;834 F6 2 43 0.7559055089950562;836 G5 3 43 0.7559055089950562;836 A#5 3 43 0.7559055089950562;836 G6 3 43 0.7559055089950562;839 G5 3 43 0.7559055089950562;839 A#5 3 43 0.7559055089950562;839 D#6 3 43 0.7559055089950562;842 D6 2 43 0.7559055089950562;844 C5 2 43 0.7559055089950562;844 F5 2 43 0.7559055089950562;844 C6 2 43 0.7559055089950562;846 A5 2 43 0.7559055089950562;846 A6 2 43 0.7559055089950562;848 G5 2 43 0.7559055089950562;848 G6 2 43 0.7559055089950562;850 F5 2 43 0.7559055089950562;850 F6 2 43 0.7559055089950562;852 F5 2 43 0.7559055089950562;852 F6 2 43 0.7559055089950562;854 G5 2 43 0.7559055089950562;854 G6 2 43 0.7559055089950562;856 A5 2 43 0.7559055089950562;856 A6 2 43 0.7559055089950562;858 A#5 2 43 0.7559055089950562;858 D6 2 43 0.7559055089950562;858 A#6 2 43 0.7559055089950562;862 G5 2 43 0.7559055089950562;862 D6 2 43 0.7559055089950562;864 G5 2 43 0.7559055089950562;864 C6 2 43 0.7559055089950562;866 A#5 2 43 0.7559055089950562;870 G5 2 43 0.7559055089950562;872 A#5 2 43 0.7559055089950562;874 D#6 1 43 0.7559055089950562;875 D6 3 43 0.7559055089950562;878 A#5 2 43 0.7559055089950562;880 C6 2 43 0.7559055089950562;882 D5 1 43 0.7559055089950562;882 A#5 1 43 0.7559055089950562;883 F5 1 43 0.7559055089950562;883 A#5 1 43 0.7559055089950562;884 G5 1 43 0.7559055089950562;884 G6 1 43 0.7559055089950562;885 F5 2 43 0.7559055089950562;885 F6 2 43 0.7559055089950562;887 D5 2 43 0.7559055089950562;887 D6 2 43 0.7559055089950562;890 G4 2 43 0.7559055089950562;890 G5 2 43 0.7559055089950562;892 A#4 2 43 0.7559055089950562;892 A#5 2 43 0.7559055089950562;894 C5 2 43 0.7559055089950562;894 C6 2 43 0.7559055089950562;896 D5 1 43 0.7559055089950562;896 D6 1 43 0.7559055089950562;897 G5 1 43 0.7559055089950562;898 A#5 1 43 0.7559055089950562;898 A#6 1 43 0.7559055089950562;899 A5 1 43 0.7559055089950562;899 A6 1 43 0.7559055089950562;900 F5 1 43 0.7559055089950562;900 F6 1 43 0.7559055089950562;901 D5 2 43 0.7559055089950562;901 D6 2 43 0.7559055089950562;903 F5 3 43 0.7559055089950562;903 F6 3 43 0.7559055089950562;906 G5 2 43 0.7559055089950562;906 G6 2 43 0.7559055089950562;908 F5 2 43 0.7559055089950562;908 F6 2 43 0.7559055089950562;910 D5 2 43 0.7559055089950562;910 D6 2 43 0.7559055089950562;912 C5 2 43 0.7559055089950562;912 C6 2 43 0.7559055089950562;914 G4 2 43 0.7559055089950562;914 G5 2 43 0.7559055089950562;916 D5 1 43 0.7559055089950562;916 D6 1 43 0.7559055089950562;917 C5 2 43 0.7559055089950562;917 C6 2 43 0.7559055089950562;919 A#4 3 43 0.7559055089950562;919 A#5 3 43 0.7559055089950562;922 G4 2 43 0.7559055089950562;922 G5 2 43 0.7559055089950562;924 F#4 2 43 0.7559055089950562;924 F#5 2 43 0.7559055089950562;926 D#5 2 43 0.7559055089950562;926 D#6 2 43 0.7559055089950562;928 D5 2 43 0.7559055089950562;928 D6 2 43 0.7559055089950562;930 A4 2 43 0.7559055089950562;930 A5 2 43 0.7559055089950562;932 C5 2 43 0.7559055089950562;932 C6 2 43 0.7559055089950562;934 A#4 1 43 0.7559055089950562;934 A#5 1 43 0.7559055089950562;935 F5 1 43 0.7559055089950562;935 F6 1 43 0.7559055089950562;938 D#5 2 43 0.7559055089950562;938 D#6 2 43 0.7559055089950562;940 D5 2 43 0.7559055089950562;940 D6 2 43 0.7559055089950562;942 F4 2 43 0.7559055089950562;942 F5 2 43 0.7559055089950562;944 F5 1 43 0.7559055089950562;945 G5 1 43 0.7559055089950562;946 A#5 1 43 0.7559055089950562;947 F6 1 43 0.7559055089950562;948 D5 1 43 0.7559055089950562;948 D6 1 43 0.7559055089950562;949 C5 2 43 0.7559055089950562;949 C6 2 43 0.7559055089950562;951 A#4 1 43 0.7559055089950562;951 A#5 1 43 0.7559055089950562;954 G4 2 43 0.7559055089950562;954 G5 2 43 0.7559055089950562;956 A#4 2 43 0.7559055089950562;956 A#5 2 43 0.7559055089950562;958 C5 2 43 0.7559055089950562;958 C6 2 43 0.7559055089950562;960 D5 1 43 0.7559055089950562;960 D6 1 43 0.7559055089950562;961 G5 1 43 0.7559055089950562;962 A#5 1 43 0.7559055089950562;962 A#6 1 43 0.7559055089950562;963 A5 1 43 0.7559055089950562;963 A6 1 43 0.7559055089950562;964 F5 1 43 0.7559055089950562;964 F6 1 43 0.7559055089950562;965 D5 2 43 0.7559055089950562;965 D6 2 43 0.7559055089950562;967 F5 3 43 0.7559055089950562;967 F6 3 43 0.7559055089950562;970 G5 2 43 0.7559055089950562;970 G6 2 43 0.7559055089950562;972 F5 2 43 0.7559055089950562;972 F6 2 43 0.7559055089950562;974 D5 2 43 0.7559055089950562;974 D6 2 43 0.7559055089950562;976 C5 1 43 0.7559055089950562;976 C6 1 43 0.7559055089950562;977 G5 1 43 0.7559055089950562;978 A#5 1 43 0.7559055089950562;979 F6 1 43 0.7559055089950562;980 D5 1 43 0.7559055089950562;980 D6 1 43 0.7559055089950562;981 C5 2 43 0.7559055089950562;981 C6 2 43 0.7559055089950562;983 A#4 3 43 0.7559055089950562;983 A#5 3 43 0.7559055089950562;986 G4 2 43 0.7559055089950562;986 G5 2 43 0.7559055089950562;988 F#4 2 43 0.7559055089950562;988 F#5 2 43 0.7559055089950562;990 D#5 2 43 0.7559055089950562;990 D#6 2 43 0.7559055089950562;992 D5 2 43 0.7559055089950562;992 D6 2 43 0.7559055089950562;994 A4 2 43 0.7559055089950562;994 A5 2 43 0.7559055089950562;998 F5 1 43 0.7559055089950562;999 G5 1 43 0.7559055089950562;1000 A#5 1 43 0.7559055089950562;1001 C6 1 43 0.7559055089950562;1002 D6 1 43 0.7559055089950562;1003 G6 1 43 0.7559055089950562;1004 F6 1 43 0.7559055089950562;1005 C6 1 43 0.7559055089950562;1006 D6 1 43 0.7559055089950562;1007 G5 1 43 0.7559055089950562;1008 A#5 4 43 0.7559055089950562;1012 G5 2 43 0.6299212574958801;1014 A5 2 43 0.6299212574958801;1016 A#5 2 43 0.6299212574958801;1018 A5 4 43 0.6299212574958801;1022 F5 2 43 0.6299212574958801;1024 D5 2 43 0.6299212574958801;1026 F5 2 43 0.6299212574958801;1030 A#4 2 43 0.6299212574958801;1030 G5 2 43 0.6299212574958801;1034 F4 2 43 0.6299212574958801;1034 F5 2 43 0.6299212574958801;1036 F5 2 43 0.6299212574958801;1038 G4 2 43 0.6299212574958801;1038 G5 2 43 0.6299212574958801;1040 A#4 2 43 0.6299212574958801;1040 A#5 2 43 0.6299212574958801;1042 F5 2 43 0.6299212574958801;1042 F6 2 43 0.6299212574958801;1044 G5 2 43 0.6299212574958801;1044 D6 2 43 0.6299212574958801;1046 C6 2 43 0.6299212574958801;1048 D6 2 43 0.6299212574958801;1050 F6 2 43 0.6299212574958801;1052 A5 2 43 0.6299212574958801;1052 G6 2 43 0.6299212574958801;1054 F6 2 43 0.6299212574958801;1056 D6 2 43 0.6299212574958801;1058 A5 4 43 0.6299212574958801;1058 C6 4 43 0.6299212574958801;1062 A#5 2 43 0.6299212574958801;1062 D6 2 43 0.6299212574958801;1065 F4 1 43 0.6299212574958801;1066 G4 1 43 0.6299212574958801;1067 A#4 1 43 0.6299212574958801;1068 D5 1 43 0.6299212574958801;1069 D#5 1 43 0.6299212574958801;1070 F5 1 43 0.6299212574958801;1071 G5 1 43 0.6299212574958801;1072 D5 1 43 0.6299212574958801;1073 F5 1 43 0.6299212574958801;1074 C5 2 43 0.6299212574958801;1076 A#4 1 43 0.6299212574958801;1077 G4 1 43 0.6299212574958801;1078 A#4 1 43 0.6299212574958801;1079 F5 1 43 0.6299212574958801;1081 A#5 1 43 0.6299212574958801;1082 A5 1 43 0.6299212574958801;1083 F5 1 43 0.6299212574958801;1084 F5 1 43 0.6299212574958801;1085 G5 1 43 0.6299212574958801;1086 D5 1 43 0.6299212574958801;1087 F5 1 43 0.6299212574958801;1088 D5 1 43 0.6299212574958801;1089 C5 1 43 0.6299212574958801;1090 A#4 1 43 0.6299212574958801;1091 F4 1 43 0.6299212574958801;1092 G4 1 43 0.6299212574958801;1093 F4 1 43 0.6299212574958801;1094 G5 1 43 0.6299212574958801;1095 F5 1 43 0.6299212574958801;1096 D5 1 43 0.6299212574958801;1097 F5 1 43 0.6299212574958801;1098 C5 1 43 0.6299212574958801;1099 F4 1 43 0.6299212574958801;1101 D4 1 43 0.6299212574958801;1102 A#4 1 43 0.6299212574958801;1103 A4 2 43 0.6299212574958801;1105 D4 1 43 0.6299212574958801;1106 A4 2 43 0.6299212574958801;1109 F4 1 43 0.6299212574958801;1110 G4 1 43 0.6299212574958801;1111 D5 1 43 0.6299212574958801;1113 C5 1 43 0.6299212574958801;1114 G5 1 43 0.6299212574958801;1115 F5 2 43 0.6299212574958801;1117 D5 1 43 0.6299212574958801;1118 D#5 1 43 0.6299212574958801;1119 E5 1 43 0.6299212574958801;1120 C5 1 43 0.6299212574958801;1121 D5 1 43 0.6299212574958801;1122 C5 1 43 0.6299212574958801;1123 G4 1 43 0.6299212574958801;1126 C5 1 43 0.6299212574958801;1126 D5 1 43 0.6299212574958801;1126 G5 1 43 0.6299212574958801;1129 C5 1 43 0.6299212574958801;1129 D5 1 43 0.6299212574958801;1129 G5 1 43 0.6299212574958801;1132 C5 4 43 0.6299212574958801;1132 D5 4 43 0.6299212574958801;1132 G5 4 43 0.6299212574958801;1136 D5 2 43 0.6299212574958801;1136 G5 2 43 0.6299212574958801;1138 G5 1 43 0.6929134130477905;1138 D5 2 43 0.6929134130477905;1139 G5 1 43 0.7244094610214233;1140 D5 2 43 0.7559055089950562;1140 A#5 2 43 0.7559055089950562;1142 A#5 1 43 0.7559055089950562;1143 A#5 1 43 0.7559055089950562;1142 D5 4 43 0.7559055089950562;1144 A#5 2 43 0.7559055089950562;1146 A#5 1 43 0.7559055089950562;1146 D5 2 43 0.7559055089950562;1147 A#5 1 43 0.7559055089950562;1148 D5 2 43 0.7559055089950562;1148 F#5 2 43 0.7559055089950562;1148 A#5 2 43 0.7559055089950562;1150 A#5 1 43 0.7559055089950562;1150 D5 2 43 0.7559055089950562;1151 A#5 1 43 0.7559055089950562;1152 D5 2 43 0.7559055089950562;1152 A#5 2 43 0.7559055089950562;1154 A#5 1 43 0.7559055089950562;1154 D5 2 43 0.7559055089950562;1155 A#5 1 43 0.7559055089950562;1156 D5 2 43 0.7559055089950562;1156 A#5 2 43 0.7559055089950562;1158 G5 1 43 0.7559055089950562;1158 D5 3 43 0.7559055089950562;1159 A#5 2 43 0.7559055089950562;1161 G5 1 43 0.7559055089950562;1161 D5 3 43 0.7559055089950562;1162 A#5 2 43 0.7559055089950562;1164 D5 2 43 0.7559055089950562;1164 G5 2 43 0.7559055089950562;1168 D5 1 43 0.7559055089950562;1168 G5 1 43 0.7559055089950562;1169 G5 1 43 0.7559055089950562;1170 G5 1 43 0.7559055089950562;1171 G5 1 43 0.7559055089950562;1172 G5 2 43 0.7559055089950562;1172 A#5 2 43 0.7559055089950562;1174 A#5 1 43 0.7559055089950562;1175 A#5 1 43 0.7559055089950562;1176 A#5 1 43 0.7559055089950562;1177 A#5 1 43 0.7559055089950562;1178 A#5 1 43 0.7559055089950562;1179 A#5 1 43 0.7559055089950562;1180 F#5 1 43 0.7559055089950562;1180 A#5 1 43 0.7559055089950562;1181 A#5 1 43 0.7559055089950562;1182 A#5 1 43 0.7559055089950562;1183 A#5 1 43 0.7559055089950562;1184 A#5 1 43 0.7559055089950562;1185 A#5 1 43 0.7559055089950562;1186 A#5 1 43 0.7559055089950562;1187 A#5 1 43 0.7559055089950562;1188 A#5 2 43 0.7559055089950562;1190 G5 1 43 0.7559055089950562;1191 A#5 1 43 0.7559055089950562;1193 G5 1 43 0.7559055089950562;1194 G6 2 43 0.7559055089950562;1196 G#5 2 43 0.7559055089950562;1196 A#5 2 43 0.7559055089950562;1196 F6 2 43 0.7559055089950562;1199 A#5 1 43 0.7559055089950562;1200 D6 1 43 0.7559055089950562;1201 D#6 1 43 0.7559055089950562;1202 F6 1 43 0.7559055089950562;1203 G5 1 43 0.7559055089950562;1203 C6 1 43 0.7559055089950562;1206 A#5 1 43 0.7559055089950562;1207 A#5 1 43 0.7559055089950562;1208 D6 1 43 0.7559055089950562;1209 D#6 1 43 0.7559055089950562;1210 F6 1 43 0.7559055089950562;1211 C5 2 43 0.7559055089950562;1211 F5 2 43 0.7559055089950562;1211 C6 2 43 0.7559055089950562;1214 F5 1 43 0.7559055089950562;1214 A#5 1 43 0.7559055089950562;1215 A#5 1 43 0.7559055089950562;1216 D6 1 43 0.7559055089950562;1217 D#6 1 43 0.7559055089950562;1218 F6 1 43 0.7559055089950562;1219 C5 2 43 0.7559055089950562;1219 C6 2 43 0.7559055089950562;1222 A#4 2 43 0.7559055089950562;1222 A#5 2 43 0.7559055089950562;1224 D5 2 43 0.7559055089950562;1224 D6 2 43 0.7559055089950562;1226 F5 2 43 0.7559055089950562;1226 F6 2 43 0.7559055089950562;1228 G5 2 43 0.7559055089950562;1228 D#6 2 43 0.7559055089950562;1228 G6 2 43 0.7559055089950562;1230 F5 2 43 0.7559055089950562;1230 F6 2 43 0.7559055089950562;1232 D5 2 43 0.7559055089950562;1232 D6 2 43 0.7559055089950562;1234 C5 2 43 0.7559055089950562;1234 C6 2 43 0.7559055089950562;1236 A#4 4 43 0.7559055089950562;1236 A#5 4 43 0.7559055089950562;1240 G4 2 43 0.7559055089950562;1240 G5 2 43 0.7559055089950562;1242 A#4 2 43 0.7559055089950562;1242 A#5 2 43 0.7559055089950562;1244 D#5 2 43 0.7559055089950562;1244 D#6 2 43 0.7559055089950562;1246 D5 2 43 0.7559055089950562;1246 D6 2 43 0.7559055089950562;1248 G4 2 43 0.7559055089950562;1248 G5 2 43 0.7559055089950562;1250 C5 2 43 0.7559055089950562;1250 C6 2 43 0.7559055089950562;1252 A#4 2 43 0.7559055089950562;1252 A#5 2 43 0.7559055089950562;1254 D5 1 43 0.7559055089950562;1255 C5 1 43 0.7559055089950562;1257 C5 1 43 0.7559055089950562;1258 G5 1 43 0.7559055089950562;1259 F5 2 43 0.7559055089950562;1261 F5 1 43 0.7559055089950562;1262 A#5 1 43 0.7559055089950562;1263 A5 1 43 0.7559055089950562;1264 F5 1 43 0.7559055089950562;1265 G5 1 43 0.7559055089950562;1266 D5 1 43 0.7559055089950562;1267 F5 1 43 0.7559055089950562;1268 D5 1 43 0.7559055089950562;1269 C5 1 43 0.7559055089950562;1271 G4 1 43 0.7559055089950562;1272 A#4 2 43 0.7559055089950562;1274 G4 1 43 0.7559055089950562;1275 F4 2 43 0.7559055089950562;1277 F4 1 43 0.7559055089950562;1278 G4 1 43 0.7559055089950562;1279 D5 1 43 0.7559055089950562;1280 C5 1 43 0.7559055089950562;1281 D4 1 43 0.7559055089950562;1282 F4 1 43 0.7559055089950562;1283 G4 1 43 0.7559055089950562;1284 D5 1 43 0.7559055089950562;1285 G4 1 43 0.7559055089950562;1286 G5 1 43 0.7559055089950562;1287 F5 1 43 0.7559055089950562;1289 F5 1 43 0.7559055089950562;1290 D6 1 43 0.7559055089950562;1291 C6 2 43 0.7559055089950562;1293 D6 1 43 0.7559055089950562;1294 D#6 1 43 0.7559055089950562;1295 E6 1 43 0.7559055089950562;1296 F6 1 43 0.7559055089950562;1297 D6 1 43 0.7559055089950562;1298 C6 1 43 0.7559055089950562;1299 G5 1 43 0.7559055089950562;1300 A#5 1 43 0.7559055089950562;1301 G5 1 43 0.7559055089950562;1303 C5 1 43 0.7559055089950562;1304 D5 2 43 0.7559055089950562;1306 G5 1 43 0.7559055089950562;1307 F5 2 43 0.7559055089950562;1309 G4 1 43 0.7559055089950562;1310 A#4 1 43 0.7559055089950562;1311 D#5 1 43 0.7559055089950562;1312 D5 1 43 0.7559055089950562;1313 F4 1 43 0.7559055089950562;1314 G4 1 43 0.7559055089950562;1315 A#4 1 43 0.7559055089950562;1316 D6 1 43 0.7559055089950562;1317 C6 2 43 0.7559055089950562;1319 G5 1 43 0.7559055089950562;1320 A#5 2 43 0.7559055089950562;1322 G6 1 43 0.7559055089950562;1323 F6 1 43 0.7559055089950562;1325 F6 1 43 0.7559055089950562;1326 A#6 1 43 0.7559055089950562;1327 A6 1 43 0.7559055089950562;1328 F6 1 43 0.7559055089950562;1329 G6 1 43 0.7559055089950562;1330 D6 1 43 0.7559055089950562;1331 F6 1 43 0.7559055089950562;1332 D6 1 43 0.7559055089950562;1333 C6 2 43 0.7559055089950562;1335 G5 1 43 0.7559055089950562;1336 A#5 2 43 0.7559055089950562;1338 G5 1 43 0.7559055089950562;1339 F5 1 43 0.7559055089950562;1341 F5 1 43 0.7559055089950562;1342 G5 1 43 0.7559055089950562;1343 D6 1 43 0.7559055089950562;1344 C6 1 43 0.7559055089950562;1345 D5 1 43 0.7559055089950562;1346 F5 1 43 0.7559055089950562;1347 G5 1 43 0.7559055089950562;1348 D6 1 43 0.7559055089950562;1349 G5 1 43 0.7559055089950562;1350 G6 1 43 0.7559055089950562;1351 F6 1 43 0.7559055089950562;1353 F6 1 43 0.7559055089950562;1354 D6 1 43 0.7559055089950562;1355 C6 1 43 0.7559055089950562;1357 D6 1 43 0.7559055089950562;1358 D#6 1 43 0.7559055089950562;1359 E6 1 43 0.7559055089950562;1360 F6 1 43 0.7559055089950562;1361 D6 1 43 0.7559055089950562;1362 C6 1 43 0.7559055089950562;1363 G5 1 43 0.7559055089950562;1364 A#5 1 43 0.7559055089950562;1365 G5 1 43 0.7559055089950562;1366 D5 2 43 0.7559055089950562;1368 F5 1 43 0.7559055089950562;1369 D5 1 43 0.7559055089950562;1370 F5 1 43 0.7559055089950562;1371 D5 1 43 0.7559055089950562;1372 C5 1 43 0.7559055089950562;1373 D5 1 43 0.7559055089950562;1374 C5 2 43 0.7559055089950562;1378 C5 2 43 0.7559055089950562;1380 G5 2 43 0.7559055089950562;1380 A#5 2 43 0.7559055089950562;1380 F6 2 43 0.7559055089950562;1382 A#5 1 43 0.7559055089950562;1382 F6 1 43 0.7559055089950562;1383 A#5 1 43 0.7559055089950562;1383 F6 1 43 0.7559055089950562;1384 A#5 2 43 0.7559055089950562;1384 F6 2 43 0.7559055089950562;1386 A#5 1 43 0.7559055089950562;1386 F6 1 43 0.7559055089950562;1387 A#5 1 43 0.7559055089950562;1387 F6 1 43 0.7559055089950562;1388 A5 2 43 0.7559055089950562;1388 F6 2 43 0.7559055089950562;1390 D#6 1 43 0.7559055089950562;1391 D6 2 43 0.7559055089950562;1393 A5 2 43 0.7559055089950562;1393 C6 2 43 0.7559055089950562;1395 A5 2 43 0.7559055089950562;1395 C6 2 43 0.7559055089950562;1398 A#5 2 43 0.7559055089950562;1400 G5 2 43 0.7559055089950562;1402 A#5 2 43 0.7559055089950562;1404 G5 2 43 0.7559055089950562;1404 G6 2 43 0.7559055089950562;1406 F5 1 43 0.7559055089950562;1406 F6 1 43 0.7559055089950562;1407 D5 1 43 0.7559055089950562;1407 D6 1 43 0.7559055089950562;1409 F5 2 43 0.7559055089950562;1409 F6 2 43 0.7559055089950562;1411 D5 2 43 0.7559055089950562;1411 A#5 2 43 0.7559055089950562;1411 D6 2 43 0.7559055089950562;1414 D5 1 43 0.7559055089950562;1414 G5 1 43 0.7559055089950562;1415 D5 2 43 0.7559055089950562;1415 G5 2 43 0.7559055089950562;1417 A#5 2 43 0.7559055089950562;1417 D6 2 43 0.7559055089950562;1419 A5 3 43 0.7559055089950562;1419 C6 3 43 0.7559055089950562;1422 D5 2 43 0.7559055089950562;1422 D6 2 43 0.7559055089950562;1424 A#5 2 43 0.7559055089950562;1424 A#6 2 43 0.7559055089950562;1426 A5 2 43 0.7559055089950562;1426 A6 2 43 0.7559055089950562;1428 F5 2 43 0.7559055089950562;1428 F6 2 43 0.7559055089950562;1430 D5 1 43 0.7559055089950562;1430 D6 1 43 0.7559055089950562;1431 F5 1 43 0.7559055089950562;1431 F6 1 43 0.7559055089950562;1433 G5 2 43 0.7559055089950562;1433 G6 2 43 0.7559055089950562;1435 F5 3 43 0.7559055089950562;1435 F6 3 43 0.7559055089950562;1438 D5 2 43 0.7559055089950562;1438 D6 2 43 0.7559055089950562;1440 C5 2 43 0.7559055089950562;1440 C6 2 43 0.7559055089950562;1442 A#4 2 43 0.7559055089950562;1442 A#5 2 43 0.7559055089950562;1444 G5 2 43 0.7559055089950562;1444 A#5 2 43 0.7559055089950562;1444 F6 2 43 0.7559055089950562;1446 G5 1 43 0.7559055089950562;1446 A#5 1 43 0.7559055089950562;1446 F6 1 43 0.7559055089950562;1447 G5 1 43 0.7559055089950562;1447 A#5 1 43 0.7559055089950562;1447 F6 1 43 0.7559055089950562;1448 G5 2 43 0.7559055089950562;1448 A#5 2 43 0.7559055089950562;1448 F6 2 43 0.7559055089950562;1450 A#5 1 43 0.7559055089950562;1450 F6 1 43 0.7559055089950562;1451 A#5 1 43 0.7559055089950562;1451 F6 1 43 0.7559055089950562;1452 A5 2 43 0.7559055089950562;1452 F6 2 43 0.7559055089950562;1454 D#6 1 43 0.7559055089950562;1455 D6 1 43 0.7559055089950562;1457 A5 2 43 0.7559055089950562;1457 F6 2 43 0.7559055089950562;1459 C5 2 43 0.7559055089950562;1459 F5 2 43 0.7559055089950562;1459 C6 2 43 0.7559055089950562;1462 A#4 2 43 0.7559055089950562;1462 A#5 2 43 0.7559055089950562;1464 G4 2 43 0.7559055089950562;1464 G5 2 43 0.7559055089950562;1466 A#4 2 43 0.7559055089950562;1466 A#5 2 43 0.7559055089950562;1468 G5 2 43 0.7559055089950562;1468 G6 2 43 0.7559055089950562;1470 F5 2 43 0.7559055089950562;1470 F6 2 43 0.7559055089950562;1472 D5 2 43 0.7559055089950562;1472 D6 2 43 0.7559055089950562;1474 C5 2 43 0.7559055089950562;1474 C6 2 43 0.7559055089950562;1476 A#4 2 43 0.7559055089950562;1476 A#5 2 43 0.7559055089950562;1478 G4 2 43 0.7559055089950562;1478 G5 2 43 0.7559055089950562;1480 A#4 2 43 0.7559055089950562;1480 A#5 2 43 0.7559055089950562;1482 D#5 2 43 0.7559055089950562;1482 D#6 2 43 0.7559055089950562;1484 D5 2 43 0.7559055089950562;1484 D6 2 43 0.7559055089950562;1486 A#4 2 43 0.7559055089950562;1486 A#5 2 43 0.7559055089950562;1488 G4 2 43 0.7559055089950562;1488 G5 2 43 0.7559055089950562;1490 A#4 2 43 0.7559055089950562;1490 A#5 2 43 0.7559055089950562;1494 A#5 1 43 0.7559055089950562;1495 F6 1 43 0.7559055089950562;1496 A#6 1 43 0.7559055089950562;1497 A6 1 43 0.7559055089950562;1498 F6 1 43 0.7559055089950562;1499 G6 1 43 0.7559055089950562;1500 D6 2 43 0.7559055089950562;1502 D5 2 43 0.7559055089950562;1504 F5 1 43 0.7559055089950562;1504 D5 2 43 0.7559055089950562;1505 F5 1 43 0.7559055089950562;1506 G5 1 43 0.7559055089950562;1507 G5 1 43 0.7559055089950562;1508 D5 2 43 0.7559055089950562;1508 A#5 2 43 0.7559055089950562;1510 G5 1 43 0.7559055089950562;1511 A#5 2 43 0.7559055089950562;1510 D5 4 43 0.7559055089950562;1513 G5 1 43 0.7559055089950562;1514 A#5 2 43 0.7559055089950562;1517 G5 1 43 0.7559055089950562;1518 D6 1 43 0.7559055089950562;1519 D6 1 43 0.7559055089950562;1520 C6 2 43 0.7559055089950562;1522 C6 1 43 0.7559055089950562;1522.56005859375 D6 1 43 0.7559055089950562;1524 D5 2 43 0.7559055089950562;1524 C6 2 43 0.7559055089950562;1526 D5 1 43 0.7559055089950562;1526 A5 1 43 0.7559055089950562;1527 D5 2 43 0.7559055089950562;1527 F5 2 43 0.7559055089950562;1529 D5 1 43 0.7559055089950562;1529 F5 1 43 0.7559055089950562;1530 D5 2 43 0.7559055089950562;1530 G5 2 43 0.7559055089950562;1533 D6 1 43 0.7559055089950562;1534 D6 1 43 0.7559055089950562;1535 D6 1 43 0.7559055089950562;1536 D6 1 43 0.7559055089950562;1537 D#6 1 43 0.7559055089950562;1538 F6 1 43 0.7559055089950562;1539 G5 3 43 0.7559055089950562;1539 D6 3 43 0.7559055089950562;1542 A#5 1 43 0.7559055089950562;1543 G5 1 43 0.7559055089950562;1544 A#5 1 43 0.7559055089950562;1545 C6 1 43 0.7559055089950562;1546 C#6 1 43 0.7559055089950562;1547 F#5 2 43 0.7559055089950562;1547 D6 2 43 0.7559055089950562;1550 A#5 1 43 0.7559055089950562;1551 G5 1 43 0.7559055089950562;1552 A#5 1 43 0.7559055089950562;1553 G5 1 43 0.7559055089950562;1554 A#5 1 43 0.7559055089950562;1555 C5 1 43 0.7559055089950562;1555 G5 1 43 0.7559055089950562;1555 C6 1 43 0.7559055089950562;1558 D5 2 43 0.7559055089950562;1558 G5 2 43 0.7559055089950562;1558 D6 2 43 0.7559055089950562;1562 D5 2 43 0.7559055089950562;1562 G5 2 43 0.7559055089950562;1562 D6 2 43 0.7559055089950562;1564 G5 2 43 0.7559055089950562;1564 G6 2 43 0.7559055089950562;1566 F5 2 43 0.7559055089950562;1566 F6 2 43 0.7559055089950562;1568 D5 2 43 0.7559055089950562;1568 F5 2 43 0.7559055089950562;1568 D6 2 43 0.7559055089950562;1570 C5 2 43 0.7559055089950562;1570 C6 2 43 0.7559055089950562;1572 D5 2 43 0.7559055089950562;1572 A#5 2 43 0.7559055089950562;1574 D5 1 43 0.7559055089950562;1574 G5 1 43 0.7559055089950562;1575 D5 2 43 0.7559055089950562;1575 A#5 2 43 0.7559055089950562;1577 G5 1 43 0.7559055089950562;1578 A#5 2 43 0.7559055089950562;1581 C6 1 43 0.7559055089950562;1582 G6 1 43 0.7559055089950562;1583 G6 1 43 0.7559055089950562;1584 F6 1 43 0.7559055089950562;1585 F6 1 43 0.7559055089950562;1586 G6 2 43 0.7559055089950562;1588 A5 2 43 0.7559055089950562;1588 F6 2 43 0.7559055089950562;1590 D6 1 43 0.7559055089950562;1591 C6 1 43 0.7559055089950562;1593 D6 1 43 0.7559055089950562;1594 G5 4 43 0.7559055089950562;1594 A#5 4 43 0.7559055089950562;1600 A#4 2 43 0.7559055089950562;1600 A#5 2 43 0.7559055089950562;1602 C5 2 43 0.7559055089950562;1602 C6 2 43 0.7559055089950562;1604 D5 3 43 0.7559055089950562;1604 D6 3 43 0.7559055089950562;1607 A#5 1 43 0.7559055089950562;1609 G5 1 43 0.7559055089950562;1610 D5 3 43 0.7559055089950562;1610 D6 3 43 0.7559055089950562;1613 A#5 1 43 0.7559055089950562;1615 G5 1 43 0.7559055089950562;1616 A#5 2 43 0.7559055089950562;1618 G6 2 43 0.7559055089950562;1620 A5 4 43 0.7559055089950562;1620 F#6 4 43 0.7559055089950562;1626 A5 4 43 0.7559055089950562;1626 D6 4 43 0.7559055089950562;1630 G5 2 43 0.7559055089950562;1630 C6 2 43 0.7559055089950562;1632 A#5 2 43 0.7559055089950562;1632 D#6 2 43 0.7559055089950562;1646 A6 1 43 0.5039370059967041;1647 A#6 1 43 0.5039370059967041;1648 A6 2 43 0.5039370059967041;1652 A#5 3 43 0.5039370059967041;1652 C6 3 43 0.5039370059967041;1652 D6 3 43 0.5039370059967041;1652 F6 3 43 0.5039370059967041;1655 G6 2 43 0.5039370059967041;1657 C6 1 43 0.5039370059967041;1657.56005859375 D6 2 43 0.5039370059967041;1657.56005859375 F6 2 43 0.5039370059967041;1660 C6 2 43 0.5039370059967041;1662 A#5 2 43 0.5039370059967041;1664 C6 2 43 0.5039370059967041;1666 G6 2 43 0.5039370059967041;1668 F6 2 43 0.5039370059967041;1670 C6 1 43 0.5039370059967041;1671 D6 2 43 0.5039370059967041;1673 A#5 1 43 0.5039370059967041;1674 C6 2 43 0.5039370059967041;1676 A#5 4 43 0.5039370059967041;1680 A5 1 43 0.5039370059967041;1680.56005859375 A#5 1 43 0.5039370059967041;1682 F6 2 43 0.5039370059967041;1684 G5 2 43 0.5039370059967041;1684 A#5 2 43 0.5039370059967041;1684 D#6 2 43 0.5039370059967041;1686 D6 2 43 0.5039370059967041;1688 C6 2 43 0.5039370059967041;1690 A#5 2 43 0.5039370059967041;1692 F#5 2 43 0.5039370059967041;1692 A5 2 43 0.5039370059967041;1694 A#5 2 43 0.5039370059967041;1696 C6 2 43 0.5039370059967041;1698 D#6 2 43 0.5039370059967041;1700 G5 3 43 0.5039370059967041;1700 A#5 3 43 0.5039370059967041;1700 D6 3 43 0.5039370059967041;1703 D6 1 43 0.5039370059967041;1704 D6 1 43 0.5039370059967041;1705 G6 2 43 0.5039370059967041;1708 G#5 4 43 0.5039370059967041;1708 C6 4 43 0.5039370059967041;1708 F6 4 43 0.5039370059967041;1712 D5 2 43 0.5039370059967041;1712 D6 2 43 0.5039370059967041;1714 F6 2 43 0.5669291615486145;1716.5699462890625 D6 2 43 0.6299212574958801;1717.1500244140625 G6 2 43 0.6299212574958801;1716 A#5 3 43 0.6299212574958801;1719 G5 3 43 0.6299212574958801;1719 A#5 3 43 0.6299212574958801;1719 D#6 3 43 0.6299212574958801;1722 D6 2 43 0.6299212574958801;1724 F5 2 43 0.6299212574958801;1724 A5 2 43 0.6299212574958801;1724 C6 2 43 0.6299212574958801;1726 A#5 2 43 0.6299212574958801;1728 C6 2 43 0.6299212574958801;1730 G6 2 43 0.6299212574958801;1732 C6 2 43 0.6299212574958801;1732 F6 2 43 0.6299212574958801;1734 G6 1 43 0.6299212574958801;1735 C6 1 43 0.6299212574958801;1735.56005859375 D6 1 43 0.6299212574958801;1735.56005859375 F6 1 43 0.6299212574958801;1737 A#5 1 43 0.6299212574958801;1738 C6 2 43 0.6299212574958801;1740 A#5 4 43 0.6299212574958801;1744 G5 2 43 0.6299212574958801;1746 A5 2 43 0.6299212574958801;1748 A#4 2 43 0.6299212574958801;1748 A#5 2 43 0.6299212574958801;1750 G5 2 43 0.6299212574958801;1752 A#4 2 43 0.6299212574958801;1752 A#5 2 43 0.6299212574958801;1754 C5 2 43 0.6456692814826965;1754 C6 2 43 0.6456692814826965;1756 D5 2 43 0.6692913174629211;1756 D6 2 43 0.6692913174629211;1758 A#4 2 43 0.6929134130477905;1758 A#5 2 43 0.6929134130477905;1760 D5 2 43 0.7086614370346069;1760 D6 2 43 0.7086614370346069;1762 G5 2 43 0.7322834730148315;1762 G6 2 43 0.7322834730148315;1764 A5 6 43 0.7559055089950562;1764 D6 6 43 0.7559055089950562;1764 F#6 6 43 0.7559055089950562;1770 A5 6 43 0.7559055089950562;1770 D6 6 43 0.7559055089950562;1770 G6 6 43 0.7559055089950562;1776 A5 4 43 0.7559055089950562;1776 D6 4 43 0.7559055089950562;1776 F#6 4 43 0.7559055089950562;1776 A6 4 43 0.7559055089950562;1790 A#5 2 43 0.7559055089950562;1790 A#6 2 43 0.7559055089950562;1792 A5 2 43 0.7559055089950562;1792 A6 2 43 0.7559055089950562;1794 F5 2 43 0.7559055089950562;1794 F6 2 43 0.7559055089950562;1796 F5 3 43 0.7559055089950562;1796 A#5 3 43 0.7559055089950562;1796 D6 3 43 0.7559055089950562;1796 F6 3 43 0.7559055089950562;1799 G5 2 43 0.7559055089950562;1799 A#5 2 43 0.7559055089950562;1799 D6 2 43 0.7559055089950562;1799 G6 2 43 0.7559055089950562;1801 G5 1 43 0.7559055089950562;1801 D6 1 43 0.7559055089950562;1804 F5 2 43 0.7559055089950562;1804 A5 2 43 0.7559055089950562;1804 C6 2 43 0.7559055089950562;1806 A#4 2 43 0.7559055089950562;1806 A#5 2 43 0.7559055089950562;1808 C5 2 43 0.7559055089950562;1808 C6 2 43 0.7559055089950562;1810 G6 2 43 0.7559055089950562;1812 A5 2 43 0.7559055089950562;1812 D6 2 43 0.7559055089950562;1812 F6 2 43 0.7559055089950562;1814 G6 1 43 0.7559055089950562;1815 C6 1 43 0.7559055089950562;1815.56005859375 C6 1 43 0.7559055089950562;1815.56005859375 D6 1 43 0.7559055089950562;1815.56005859375 F6 1 43 0.7559055089950562;1817 A#5 1 43 0.7559055089950562;1818 C6 2 43 0.7559055089950562;1820 D5 4 43 0.7559055089950562;1820 F5 4 43 0.7559055089950562;1820 A#5 4 43 0.7559055089950562;1824 A#4 2 43 0.7559055089950562;1824 A#5 2 43 0.7559055089950562;1826 F5 2 43 0.7559055089950562;1826 F6 2 43 0.7559055089950562;1828 D#5 2 43 0.7559055089950562;1828 D#6 2 43 0.7559055089950562;1830 D5 2 43 0.7559055089950562;1830 D6 2 43 0.7559055089950562;1832 C5 2 43 0.7559055089950562;1832 C6 2 43 0.7559055089950562;1834 A#4 2 43 0.7559055089950562;1834 A#5 2 43 0.7559055089950562;1836 A5 2 43 0.7559055089950562;1836 F#5 2 43 0.7559055089950562;1836 A5 2 43 0.7559055089950562;1838 A#4 2 43 0.7559055089950562;1838 A#5 2 43 0.7559055089950562;1840 C5 2 43 0.7559055089950562;1840 C6 2 43 0.7559055089950562;1842 D#5 2 43 0.7559055089950562;1842 D#6 2 43 0.7559055089950562;1844 C5 2 43 0.7559055089950562;1844 D5 2 43 0.7559055089950562;1844 G5 2 43 0.7559055089950562;1844 D6 2 43 0.7559055089950562;1846 C6 1 43 0.7559055089950562;1847 D6 1 43 0.7559055089950562;1849 G5 3 43 0.7559055089950562;1849 G6 3 43 0.7559055089950562;1852 F5 4 43 0.7559055089950562;1852 A#5 4 43 0.7559055089950562;1852 D6 4 43 0.7559055089950562;1852 F6 4 43 0.7559055089950562;1856 D5 2 43 0.7559055089950562;1856 D6 2 43 0.7559055089950562;1858 F5 2 43 0.7559055089950562;1858 F6 2 43 0.7559055089950562;1860 G5 3 43 0.7559055089950562;1860 A#5 3 43 0.7559055089950562;1860 D6 3 43 0.7559055089950562;1860 G6 3 43 0.7559055089950562;1863 G5 3 43 0.7559055089950562;1863 A#5 3 43 0.7559055089950562;1863 D#6 3 43 0.7559055089950562;1866 D6 1 43 0.7559055089950562;1868 F5 2 43 0.7559055089950562;1868 A5 2 43 0.7559055089950562;1868 C6 2 43 0.7559055089950562;1888 D5 2 43 0.7559055089950562;1888 G5 2 43 0.7559055089950562;1890 E5 2 43 0.7559055089950562;1890 A5 2 43 0.7559055089950562;1892 F5 2 43 0.7559055089950562;1892 A#5 2 43 0.7559055089950562;1894 G5 2 43 0.7559055089950562;1896 F5 2 43 0.7559055089950562;1896 A#5 2 43 0.7559055089950562;1898 G5 2 43 0.7559055089950562;1898 C6 2 43 0.7559055089950562;1900 A5 2 43 0.7559055089950562;1900 D6 2 43 0.7559055089950562;1902 G5 2 43 0.7559055089950562;1902 C6 2 43 0.7559055089950562;1904 A5 2 43 0.7559055089950562;1904 D6 2 43 0.7559055089950562;1906 D6 2 43 0.7559055089950562;1906 D6 2 43 0.7559055089950562;1908 F#5 4 43 0.7559055089950562;1908 D6 4 43 0.7559055089950562;1914 A#3 2 43 0.7559055089950562;1914 F#5 2 43 0.7559055089950562;1914 A#5 2 43 0.7559055089950562;1916 A#3 2 43 0.7559055089950562;1916 F#5 2 43 0.7559055089950562;1916 A#5 2 43 0.7559055089950562;1918 A#5 2 43 0.7559055089950562;1918 D6 2 43 0.7559055089950562;1920 A5 2 43 0.7559055089950562;1920 D6 2 43 0.7559055089950562;1922 F5 2 43 0.7559055089950562;1922 D6 2 43 0.7559055089950562;1924 F5 3 43 0.7559055089950562;1924 A#5 3 43 0.7559055089950562;1924 D6 3 43 0.7559055089950562;1924 D6 3 43 0.7559055089950562;1927 G5 2 43 0.7559055089950562;1927 A#5 2 43 0.7559055089950562;1927 D6 2 43 0.7559055089950562;1927 D6 2 43 0.7559055089950562;1929 G5 1 43 0.7559055089950562;1929 D6 1 43 0.7559055089950562;1932 C5 2 43 0.7559055089950562;1934 A#5 2 43 0.7559055089950562;1936 C6 2 43 0.7559055089950562;1938 G5 2 43 0.7559055089950562;1940 A5 2 43 0.7559055089950562;1940 C6 2 43 0.7559055089950562;1940 D6 2 43 0.7559055089950562;1942 G5 1 43 0.7559055089950562;1943 C6 1 43 0.7559055089950562;1943.56005859375 C6 1 43 0.7559055089950562;1943.56005859375 D6 1 43 0.7559055089950562;1943.56005859375 D6 1 43 0.7559055089950562;1945 A#5 1 43 0.7559055089950562;1946 C6 2 43 0.7559055089950562;1948 D5 4 43 0.7559055089950562;1948 F5 4 43 0.7559055089950562;1948 A#5 4 43 0.7559055089950562;1952 A#4 2 43 0.7559055089950562;1952 A#5 2 43 0.7559055089950562;1954 F5 2 43 0.7559055089950562;1954 D6 2 43 0.7559055089950562;1956 D#5 2 43 0.7559055089950562;1956 D#6 2 43 0.7559055089950562;1958 D5 2 43 0.7559055089950562;1958 D6 2 43 0.7559055089950562;1960 C5 2 43 0.7559055089950562;1960 C6 2 43 0.7559055089950562;1962 A#4 2 43 0.7559055089950562;1962 A#5 2 43 0.7559055089950562;1964 A4 2 43 0.7559055089950562;1964 F#5 2 43 0.7559055089950562;1964 A5 2 43 0.7559055089950562;1968 C6 2 43 0.7559055089950562;1968 C6 2 43 0.7559055089950562;1970 D#6 2 43 0.7559055089950562;1970 D#6 2 43 0.7559055089950562;1972 D6 2 43 0.7559055089950562;1972 G6 2 43 0.7559055089950562;1972 D6 2 43 0.7559055089950562;1974 D6 1 43 0.7559055089950562;1974 C6 1 43 0.7559055089950562;1975 D6 1 43 0.7559055089950562;1977 G6 3 43 0.7559055089950562;1980 F5 2 43 0.7559055089950562;1980 A#5 2 43 0.7559055089950562;1980 D6 2 43 0.7559055089950562;1980 F6 2 43 0.7559055089950562;1984 D5 2 43 0.7559055089950562;1984 D6 2 43 0.7559055089950562;1986 F5 2 43 0.7559055089950562;1986 F6 2 43 0.7559055089950562;1988 G5 3 43 0.7559055089950562;1988 D6 3 43 0.7559055089950562;1988 G6 3 43 0.7559055089950562;1991 D6 3 43 0.7559055089950562;1991 D#6 3 43 0.7559055089950562;1991 G6 3 43 0.7559055089950562;1994 D6 1 43 0.7559055089950562;1996 C6 2 43 0.7559055089950562;1998 A5 2 43 0.7559055089950562;1998 A6 2 43 0.7559055089950562;2000 G5 2 43 0.7559055089950562;2000 G6 2 43 0.7559055089950562;2002 F5 2 43 0.7559055089950562;2002 F6 2 43 0.7559055089950562;2004 F5 2 43 0.7559055089950562;2004 F6 2 43 0.7559055089950562;2006 G5 2 43 0.7559055089950562;2006 G6 2 43 0.7559055089950562;2008 A5 2 43 0.7559055089950562;2008 A6 2 43 0.7559055089950562;2010 A#5 2 43 0.7559055089950562;2010 G6 2 43 0.7559055089950562;2010 A#6 2 43 0.7559055089950562;2014 C6 1 43 0.7559055089950562;2014.56005859375 C#6 1 43 0.7559055089950562;2014.56005859375 G6 1 43 0.7559055089950562;2016 C6 2 43 0.7559055089950562;2016 G6 2 43 0.7559055089950562;2018 A#5 2 43 0.7559055089950562;2018 G6 2 43 0.7559055089950562;2022 G5 2 43 0.7559055089950562;2024 A#5 2 43 0.7559055089950562;2026 D#6 2 43 0.7559055089950562;2028 D6 2 43 0.7559055089950562;2030 A#5 2 43 0.7559055089950562;2032 G5 2 43 0.7559055089950562;2034 A#5 2 43 0.7559055089950562;2037 A#5 1 43 0.7559055089950562;2038 F6 1 43 0.7559055089950562;2039 A#5 1 43 0.7559055089950562;2040 G6 2 43 0.7559055089950562;2042 F5 2 43 0.7559055089950562;2042 F6 2 43 0.7559055089950562;2044 G5 2 43 0.7559055089950562;2044 G6 2 43 0.7559055089950562;2046 D#5 2 43 0.7559055089950562;2046 D#6 2 43 0.7559055089950562;2048 F5 2 43 0.7559055089950562;2048 F6 2 43 0.7559055089950562;2050 A#5 2 43 0.7559055089950562;2050 A#6 2 43 0.7559055089950562;2052 G#5 2 43 0.7559055089950562;2052 G#6 2 43 0.7559055089950562;2054 G5 2 43 0.7559055089950562;2054 G6 2 43 0.7559055089950562;2056 F5 2 43 0.7559055089950562;2056 F6 2 43 0.7559055089950562;2058 D#5 2 43 0.7559055089950562;2058 D#6 2 43 0.7559055089950562;2060 A#4 2 43 0.7559055089950562;2060 A#5 2 43 0.7559055089950562;2062 D#5 2 43 0.7559055089950562;2062 D#6 2 43 0.7559055089950562;2064 F5 2 43 0.7559055089950562;2064 F6 2 43 0.7559055089950562;2066 D#5 2 43 0.7559055089950562;2066 D#6 2 43 0.7559055089950562;2072 G5 2 43 0.7559055089950562;2072 D#6 2 43 0.7559055089950562;2072 G6 2 43 0.7559055089950562;2074 A#5 2 43 0.7559055089950562;2074 A#6 2 43 0.7559055089950562;2076 C6 2 43 0.7559055089950562;2076 C7 2 43 0.7559055089950562;2078 A#5 2 43 0.7559055089950562;2078 A#6 2 43 0.7559055089950562;2080 G5 2 43 0.7559055089950562;2080 G6 2 43 0.7559055089950562;2082 F5 2 43 0.7559055089950562;2082 F6 2 43 0.7559055089950562;2084 D#5 2 43 0.7559055089950562;2084 D#6 2 43 0.7559055089950562;2086 C6 1 43 0.7559055089950562;2087 D#5 2 43 0.7559055089950562;2087 D#6 2 43 0.7559055089950562;2089 C6 2 43 0.7559055089950562;2089 C7 2 43 0.7559055089950562;2091 A#5 3 43 0.7559055089950562;2091 A#6 3 43 0.7559055089950562;2094 G5 2 43 0.7559055089950562;2094 G6 2 43 0.7559055089950562;2096 C5 2 43 0.7559055089950562;2096 C6 2 43 0.7559055089950562;2098 D#5 2 43 0.7559055089950562;2098 D#6 2 43 0.7559055089950562;2100 C6 1 43 0.7559055089950562;2100 C7 1 43 0.7559055089950562;2101 A#5 2 43 0.7559055089950562;2103 G6 3 43 0.7559055089950562;2106 C6 2 43 0.7559055089950562;2108 D#6 2 43 0.7559055089950562;2110 F6 2 43 0.7559055089950562;2112 G6 1 43 0.7559055089950562;2113 D#6 1 43 0.7559055089950562;2114 D#6 1 43 0.7559055089950562;2115 D6 1 43 0.7559055089950562;2116 A#5 1 43 0.7559055089950562;2117 G6 1 43 0.7559055089950562;2119 A#5 3 43 0.7559055089950562;2122 C6 2 43 0.7559055089950562;2124 A#5 2 43 0.7559055089950562;2124 A#6 2 43 0.7559055089950562;2126 G5 2 43 0.7559055089950562;2126 G6 2 43 0.7559055089950562;2128 F5 2 43 0.7559055089950562;2128 F6 2 43 0.7559055089950562;2130 C5 2 43 0.7559055089950562;2130 C6 2 43 0.7559055089950562;2132 G5 1 43 0.7559055089950562;2132 G6 1 43 0.7559055089950562;2133 F6 1 43 0.7559055089950562;2135 D#6 3 43 0.7559055089950562;2138 C6 2 43 0.7559055089950562;2140 B4 2 43 0.7559055089950562;2140 B5 2 43 0.7559055089950562;2142 G#5 2 43 0.7559055089950562;2142 G#6 2 43 0.7559055089950562;2144 G5 2 43 0.7559055089950562;2144 G6 2 43 0.7559055089950562;2146 D5 2 43 0.7559055089950562;2146 D6 2 43 0.7559055089950562;2148 F5 2 43 0.7559055089950562;2148 F6 2 43 0.7559055089950562;2150 D#5 1 43 0.7559055089950562;2150 D#6 1 43 0.7559055089950562;2151 A#6 3 43 0.7559055089950562;2154 G#5 2 43 0.7559055089950562;2154 G#6 2 43 0.7559055089950562;2156 G5 2 43 0.7559055089950562;2156 G6 2 43 0.7559055089950562;2158 A#4 2 43 0.7559055089950562;2158 A#5 2 43 0.7559055089950562;2160 A#5 1 43 0.7559055089950562;2161 C6 1 43 0.7559055089950562;2162 D#6 1 43 0.7559055089950562;2164 G5 1 43 0.7559055089950562;2164 G6 1 43 0.7559055089950562;2165 F5 1 43 0.7559055089950562;2165 F6 1 43 0.7559055089950562;2167 D#5 3 43 0.7559055089950562;2167 D#6 3 43 0.7559055089950562;2170 C5 2 43 0.7559055089950562;2170 C6 2 43 0.7559055089950562;2172 D#5 2 43 0.7559055089950562;2172 D#6 2 43 0.7559055089950562;2174 F5 2 43 0.7559055089950562;2174 F6 2 43 0.7559055089950562;2176 G5 1 43 0.7559055089950562;2176 G6 1 43 0.7559055089950562;2177 D#6 1 43 0.7559055089950562;2178 D#6 1 43 0.7559055089950562;2178 C7 1 43 0.7559055089950562;2179 B6 1 43 0.7559055089950562;2180 A#5 1 43 0.7559055089950562;2180 A#6 1 43 0.7559055089950562;2181 G6 1 43 0.7559055089950562;2183 A#5 3 43 0.7559055089950562;2183 A#6 3 43 0.7559055089950562;2186 C6 2 43 0.7559055089950562;2186 C7 2 43 0.7559055089950562;2188 A#5 2 43 0.7559055089950562;2188 A#6 2 43 0.7559055089950562;2190 G6 2 43 0.7559055089950562;2192 D#6 1 43 0.7559055089950562;2193 C6 1 43 0.7559055089950562;2194 D#6 1 43 0.7559055089950562;2195 A#6 1 43 0.7559055089950562;2196 G5 2 43 0.7559055089950562;2196 G6 2 43 0.7559055089950562;2199 F5 2 43 0.7559055089950562;2199 F6 2 43 0.7559055089950562;2202 C5 2 43 0.7559055089950562;2202 C6 2 43 0.7559055089950562;2204 B4 2 43 0.7559055089950562;2204 B5 2 43 0.7559055089950562;2206 G#5 2 43 0.7559055089950562;2206 G#6 2 43 0.7559055089950562;2208 G5 2 43 0.7559055089950562;2208 G6 2 43 0.7559055089950562;2210 D5 2 43 0.7559055089950562;2210 G#5 2 43 0.7559055089950562;2210 B5 2 43 0.7559055089950562;2210 D6 2 43 0.7559055089950562;2214 A#5 1 43 0.7559055089950562;2215 C6 1 43 0.7559055089950562;2216 D#6 1 43 0.7559055089950562;2217 F6 1 43 0.7559055089950562;2218 G6 1 43 0.7559055089950562;2219 C7 1 43 0.7559055089950562;2220 D#6 1 43 0.9055117964744568;2220 G6 1 43 0.9055117964744568;2220 A#6 1 43 0.9055117964744568;4 D#3 8 43 0.6299212574958801;4 D4 8 43 0.6299212574958801;12 F3 8 43 0.6299212574958801;12 A3 8 43 0.6299212574958801;12 C4 8 43 0.6299212574958801;20 D3 3 43 0.6299212574958801;20 A3 3 43 0.6299212574958801;20 D4 3 43 0.6299212574958801;23 F4 3 43 0.6299212574958801;26 C4 2 43 0.6299212574958801;28 G3 8 43 0.6299212574958801;28 D4 8 43 0.6299212574958801;36 D#3 8 43 0.6299212574958801;36 D4 8 43 0.6299212574958801;44 F#3 8 43 0.6299212574958801;44 A3 8 43 0.6299212574958801;44 C4 8 43 0.6299212574958801;44 D4 8 43 0.6299212574958801;52 G3 8 43 0.6299212574958801;52 D4 8 43 0.6299212574958801;52 F4 8 43 0.6299212574958801;60 F3 4 43 0.6299212574958801;60 C4 4 43 0.6299212574958801;60 D#4 4 43 0.6299212574958801;64 A#2 4 43 0.6299212574958801;64 F3 4 43 0.6299212574958801;68 D#3 4 43 0.6299212574958801;68 D#3 4 43 0.6299212574958801;72 D#3 2 43 0.6299212574958801;74 D4 2 43 0.6299212574958801;76 F3 2 43 0.6299212574958801;76 F3 2 43 0.6299212574958801;78 F3 2 43 0.6299212574958801;78 C4 2 43 0.6299212574958801;80 F3 2 43 0.6299212574958801;82 F3 2 43 0.6299212574958801;82 C4 2 43 0.6299212574958801;84 F#3 2 43 0.6299212574958801;84 F#3 2 43 0.6299212574958801;86 F#3 2 43 0.6299212574958801;86 A3 2 43 0.6299212574958801;88 F#3 2 43 0.6299212574958801;88 F#3 2 43 0.6299212574958801;90 F#3 2 43 0.6299212574958801;90 A3 2 43 0.6299212574958801;92 G3 4 43 0.6299212574958801;92 G3 4 43 0.6299212574958801;96 G3 2 43 0.6299212574958801;100 D#3 4 43 0.6299212574958801;100 D#3 4 43 0.6299212574958801;104 D#3 2 43 0.6299212574958801;106 D5 2 43 0.6299212574958801;108 D3 2 43 0.6299212574958801;110 A3 2 43 0.6299212574958801;112 F#3 2 43 0.6299212574958801;114 D3 2 43 0.6299212574958801;114 D3 2 43 0.6299212574958801;116 G3 2 43 0.6299212574958801;116 G3 2 43 0.6299212574958801;122 G3 1 43 0.6299212574958801;127 A#5 1 43 0.6299212574958801;128 F4 1 43 0.6299212574958801;129 G4 1 43 0.6614173054695129;130 F4 2 43 0.6929134130477905;132 D#3 4 43 0.7559055089950562;132 D#3 4 43 0.7559055089950562;136 D#3 2 43 0.7559055089950562;138 D#3 2 43 0.7559055089950562;138 G3 2 43 0.7559055089950562;140 D3 2 43 0.7559055089950562;140 D3 2 43 0.7559055089950562;142 D3 2 43 0.7559055089950562;142 F#3 2 43 0.7559055089950562;144 D3 2 43 0.7559055089950562;146 D3 2 43 0.7559055089950562;146 F#3 2 43 0.7559055089950562;148 G3 4 43 0.7559055089950562;148 G3 4 43 0.7559055089950562;152 G3 2 43 0.7559055089950562;156 F3 2 43 0.7559055089950562;156 F3 2 43 0.7559055089950562;158 F3 2 43 0.7559055089950562;158 A3 2 43 0.7559055089950562;160 F3 2 43 0.7559055089950562;162 F3 2 43 0.7559055089950562;162 A3 2 43 0.7559055089950562;164 D#3 4 43 0.7559055089950562;164 D#3 4 43 0.7559055089950562;168 D#3 2 43 0.7559055089950562;170 D#3 2 43 0.7559055089950562;170 G3 2 43 0.7559055089950562;172 D3 2 43 0.7559055089950562;172 D3 2 43 0.7559055089950562;174 D3 2 43 0.7559055089950562;174 F#3 2 43 0.7559055089950562;176 D3 2 43 0.7559055089950562;178 D3 2 43 0.7559055089950562;178 F#3 2 43 0.7559055089950562;180 G3 4 43 0.7559055089950562;180 G3 4 43 0.7559055089950562;184 G3 2 43 0.7559055089950562;186 G3 2 43 0.7559055089950562;188 G#3 2 43 0.7559055089950562;188 G#3 2 43 0.7559055089950562;190 D#3 2 43 0.7559055089950562;192 A#2 2 43 0.7559055089950562;194 F3 2 43 0.7559055089950562;196 D#3 4 43 0.7559055089950562;196 D#3 4 43 0.7559055089950562;200 D#3 2 43 0.7559055089950562;202 D#3 2 43 0.7559055089950562;202 G3 2 43 0.7559055089950562;204 D3 2 43 0.7559055089950562;204 D3 2 43 0.7559055089950562;206 D3 2 43 0.7559055089950562;206 F#3 2 43 0.7559055089950562;208 D3 2 43 0.7559055089950562;210 D3 2 43 0.7559055089950562;210 F#3 2 43 0.7559055089950562;212 G3 4 43 0.7559055089950562;212 G3 4 43 0.7559055089950562;216 G3 2 43 0.7559055089950562;220 F3 2 43 0.7559055089950562;222 F3 2 43 0.7559055089950562;222 C4 2 43 0.7559055089950562;224 F3 2 43 0.7559055089950562;226 F3 2 43 0.7559055089950562;226 C4 2 43 0.7559055089950562;228 D#3 2 43 0.7559055089950562;228 D#3 2 43 0.7559055089950562;230 D#3 2 43 0.7559055089950562;230 G3 2 43 0.7559055089950562;232 D#3 2 43 0.7559055089950562;234 D#3 2 43 0.7559055089950562;234 G3 2 43 0.7559055089950562;236 D3 2 43 0.7559055089950562;236 D3 2 43 0.7559055089950562;238 D3 2 43 0.7559055089950562;238 F#3 2 43 0.7559055089950562;240 D3 2 43 0.7559055089950562;242 D3 2 43 0.7559055089950562;242 F#3 2 43 0.7559055089950562;244 G3 2 43 0.7559055089950562;244 G3 2 43 0.7559055089950562;246 G3 2 43 0.7559055089950562;248 G3 2 43 0.7559055089950562;252 G3 1 43 0.7559055089950562;252 D3 1 43 0.7559055089950562;252 G3 1 43 0.7559055089950562;255 G3 1 43 0.7559055089950562;255 D3 1 43 0.7559055089950562;255 G3 1 43 0.7559055089950562;258 G3 2 43 0.7559055089950562;258 D3 2 43 0.7559055089950562;258 G3 2 43 0.7559055089950562;260 D#4 2 43 0.7559055089950562;260 D#4 2 43 0.7559055089950562;262 D#4 2 43 0.7559055089950562;264 D#4 2 43 0.7559055089950562;266 D#4 2 43 0.7559055089950562;268 D4 2 43 0.7559055089950562;268 D4 2 43 0.7559055089950562;270 D4 1 43 0.7559055089950562;272 D4 2 43 0.7559055089950562;274 D4 2 43 0.7559055089950562;274 D4 2 43 0.7559055089950562;276 G4 2 43 0.7559055089950562;278 G4 1 43 0.7559055089950562;279 F4 2 43 0.7559055089950562;281 F4 1 43 0.7559055089950562;282 G4 2 43 0.7559055089950562;284 F4 2 43 0.7559055089950562;284 F4 2 43 0.7559055089950562;286 C4 2 43 0.7559055089950562;286 F4 2 43 0.7559055089950562;288 A#4 2 43 0.7559055089950562;290 F4 2 43 0.7559055089950562;292 D#4 2 43 0.7559055089950562;294 D#4 1 43 0.7559055089950562;297 D#4 1 43 0.7559055089950562;298 D#4 2 43 0.7559055089950562;300 D4 2 43 0.7559055089950562;300 D4 2 43 0.7559055089950562;302 D4 1 43 0.7559055089950562;304 D4 2 43 0.7559055089950562;306 G4 4 43 0.7559055089950562;306 G4 4 43 0.7559055089950562;310 G4 2 43 0.7559055089950562;312 G4 1 43 0.7559055089950562;313 F4 1 43 0.7559055089950562;314 D4 2 43 0.7559055089950562;316 F4 2 43 0.7559055089950562;316 F4 2 43 0.7559055089950562;318 C4 2 43 0.7559055089950562;318 F4 2 43 0.7559055089950562;320 A#4 2 43 0.7559055089950562;322 F4 2 43 0.7559055089950562;324 D#4 2 43 0.7559055089950562;324 D#4 2 43 0.7559055089950562;329 D#4 1 43 0.7559055089950562;330 G4 1 43 0.7559055089950562;331 D#4 1 43 0.7559055089950562;332 F4 1 43 0.7559055089950562;332 F4 1 43 0.7559055089950562;333 C4 1 43 0.7559055089950562;334 F4 1 43 0.7559055089950562;337 F4 1 43 0.7559055089950562;338 F4 2 43 0.7559055089950562;340 D4 1 43 0.7559055089950562;341 D4 1 43 0.7559055089950562;343 D4 1 43 0.7559055089950562;344 D4 1 43 0.7559055089950562;345 D4 1 43 0.7559055089950562;347 D4 1 43 0.7559055089950562;348 G4 2 43 0.7559055089950562;349.6700134277344 G4 1 43 0.7559055089950562;350.34002685546875 C4 1 43 0.7559055089950562;351 D4 1 43 0.7559055089950562;352 G4 2 43 0.7559055089950562;354 G4 2 43 0.7559055089950562;356 D#4 2 43 0.7559055089950562;356 D#4 2 43 0.7559055089950562;359.3299865722656 D#4 1 43 0.7559055089950562;360 G4 2 43 0.7559055089950562;362 D4 4 43 0.7559055089950562;362 D4 4 43 0.7559055089950562;366 D4 2 43 0.7559055089950562;368 A4 2 43 0.7559055089950562;370 G4 4 43 0.7559055089950562;370 G4 4 43 0.7559055089950562;374 G4 2 43 0.7559055089950562;376 G4 2 43 0.7559055089950562;378 D4 2 43 0.7559055089950562;380 G4 1 43 0.7559055089950562;383 G4 1 43 0.7559055089950562;386 G4 1 43 0.7559055089950562;388 D#4 4 43 0.7559055089950562;388 D#4 4 43 0.7559055089950562;392 D#4 2 43 0.7559055089950562;394 D#4 2 43 0.7559055089950562;394 G4 2 43 0.7559055089950562;396 D4 4 43 0.7559055089950562;396 D4 4 43 0.7559055089950562;400 D4 2 43 0.7559055089950562;402 F4 2 43 0.7559055089950562;404 G4 4 43 0.7559055089950562;404 G4 4 43 0.7559055089950562;408 G4 2 43 0.7559055089950562;410 A#4 2 43 0.7559055089950562;412 F4 2 43 0.7559055089950562;412 F4 2 43 0.7559055089950562;414 F4 2 43 0.7559055089950562;414 A4 2 43 0.7559055089950562;416 A#3 2 43 0.7559055089950562;418 D4 2 43 0.7559055089950562;420 D#4 2 43 0.7559055089950562;420 D#4 2 43 0.7559055089950562;422 G4 2 43 0.7559055089950562;424 D#4 2 43 0.7559055089950562;426 G4 2 43 0.7559055089950562;428 D4 2 43 0.7559055089950562;428 D4 2 43 0.7559055089950562;430 D4 2 43 0.7559055089950562;430 F#4 2 43 0.7559055089950562;432 D4 2 43 0.7559055089950562;434 D4 2 43 0.7559055089950562;434 F#4 2 43 0.7559055089950562;436 G4 4 43 0.7559055089950562;436 G4 4 43 0.7559055089950562;440 G4 2 43 0.7559055089950562;444 G#4 2 43 0.7559055089950562;444 G#4 2 43 0.7559055089950562;446 D#4 2 43 0.7559055089950562;448 A#3 2 43 0.7559055089950562;450 F4 2 43 0.7559055089950562;452 D#4 2 43 0.7559055089950562;452 D#4 2 43 0.7559055089950562;454 D#4 2 43 0.7559055089950562;454 G4 2 43 0.7559055089950562;456 D#4 2 43 0.7559055089950562;458 D#4 2 43 0.7559055089950562;458 G4 2 43 0.7559055089950562;460 F4 2 43 0.7559055089950562;460 F4 2 43 0.7559055089950562;462 F4 2 43 0.7559055089950562;462 A4 2 43 0.7559055089950562;464 F4 2 43 0.7559055089950562;466 F4 2 43 0.7559055089950562;466 A4 2 43 0.7559055089950562;468 D4 4 43 0.7559055089950562;468 D4 4 43 0.7559055089950562;472 D4 2 43 0.7559055089950562;474 F4 2 43 0.7559055089950562;474 A4 2 43 0.7559055089950562;476 G4 2 43 0.7559055089950562;476 G4 2 43 0.7559055089950562;480 G4 2 43 0.7559055089950562;484 D#4 2 43 0.7559055089950562;484 D#4 2 43 0.7559055089950562;486 D#4 2 43 0.7559055089950562;486 G4 2 43 0.7559055089950562;488 D#4 2 43 0.7559055089950562;490 D4 2 43 0.7559055089950562;490 F#4 2 43 0.7559055089950562;492 D4 2 43 0.7559055089950562;494 D4 2 43 0.7559055089950562;494 F#4 2 43 0.7559055089950562;496 D4 2 43 0.7559055089950562;498 D4 2 43 0.7559055089950562;498 F#4 2 43 0.7559055089950562;500 G4 4 43 0.7559055089950562;500 G4 4 43 0.7559055089950562;504 G4 2 43 0.7559055089950562;510 D4 2 43 0.7559055089950562;508 G4 6 43 0.7559055089950562;512 C4 2 43 0.7559055089950562;514 G4 2 43 0.7559055089950562;516 D#4 1 43 0.7559055089950562;516 D#4 1 43 0.7559055089950562;519 D#4 1 43 0.7559055089950562;519 D#4 1 43 0.7559055089950562;522 F4 3 43 0.7559055089950562;522 F4 3 43 0.7559055089950562;525 G4 1 43 0.7559055089950562;527 A4 1 43 0.7559055089950562;528 F4 1 43 0.7559055089950562;529 G4 1 43 0.7559055089950562;530 D4 1 43 0.7559055089950562;531 F4 1 43 0.7559055089950562;532 D4 1 43 0.7559055089950562;532 D4 1 43 0.7559055089950562;535 D4 1 43 0.7559055089950562;535 D4 1 43 0.7559055089950562;538 G4 3 43 0.7559055089950562;538 G4 3 43 0.7559055089950562;541 G4 1 43 0.7559055089950562;542 G4 1 43 0.7559055089950562;543 F4 1 43 0.7559055089950562;544 D4 2 43 0.7559055089950562;547 D#4 5 43 0.7559055089950562;547 D#4 5 43 0.7559055089950562;552 D#4 2 43 0.7559055089950562;554 D#4 2 43 0.7559055089950562;554 G4 2 43 0.7559055089950562;556 D4 2 43 0.7559055089950562;556 D4 2 43 0.7559055089950562;558 D4 2 43 0.7559055089950562;558 F#4 2 43 0.7559055089950562;560 D4 2 43 0.7559055089950562;562 D4 2 43 0.7559055089950562;562 F#4 2 43 0.7559055089950562;564 G4 4 43 0.7559055089950562;564 G4 4 43 0.7559055089950562;568 G4 2 43 0.7559055089950562;570 G4 2 43 0.7559055089950562;572 F4 4 43 0.7559055089950562;572 F4 4 43 0.7559055089950562;576 A#3 4 43 0.7559055089950562;580 D#4 2 43 0.7559055089950562;580 D#4 2 43 0.7559055089950562;582 D#4 2 43 0.7559055089950562;582 G4 2 43 0.7559055089950562;584 D#4 2 43 0.7559055089950562;584 D#4 2 43 0.7559055089950562;586 D#4 2 43 0.7559055089950562;586 G4 2 43 0.7559055089950562;588 F4 2 43 0.7559055089950562;588 F4 2 43 0.7559055089950562;590 F4 2 43 0.7559055089950562;590 A4 2 43 0.7559055089950562;592 F4 2 43 0.7559055089950562;594 F4 2 43 0.7559055089950562;594 A4 2 43 0.7559055089950562;596 F#4 2 43 0.7559055089950562;596 F#4 2 43 0.7559055089950562;598 F#4 2 43 0.7559055089950562;598 A4 2 43 0.7559055089950562;600 A4 2 43 0.7559055089950562;600 A4 2 43 0.7559055089950562;604 G4 4 43 0.7559055089950562;604 G4 4 43 0.7559055089950562;608 G4 2 43 0.7559055089950562;612 D#4 2 43 0.7559055089950562;612 D#4 2 43 0.7559055089950562;614 D#4 2 43 0.7559055089950562;614 G4 2 43 0.7559055089950562;616 D#4 2 43 0.7559055089950562;618 D#4 2 43 0.7559055089950562;618 G4 2 43 0.7559055089950562;620 D#4 2 43 0.7559055089950562;622 D#4 2 43 0.7559055089950562;622 G4 2 43 0.7559055089950562;624 D#4 2 43 0.7559055089950562;626 D#4 2 43 0.7559055089950562;626 G4 2 43 0.7559055089950562;628 D4 1 43 0.7559055089950562;628 D4 1 43 0.7559055089950562;631 D4 1 43 0.7559055089950562;631 D4 1 43 0.7559055089950562;633 D4 1 43 0.7559055089950562;633 D4 1 43 0.7559055089950562;636 D4 2 43 0.7559055089950562;636 A4 2 43 0.7559055089950562;636 D4 2 43 0.7559055089950562;644 D#4 4 43 0.7559055089950562;644 D#4 4 43 0.7559055089950562;648 D#4 2 43 0.7559055089950562;650 D#4 2 43 0.7559055089950562;650 G4 2 43 0.7559055089950562;652 F4 2 43 0.7559055089950562;652 F4 2 43 0.7559055089950562;654 F4 2 43 0.7559055089950562;654 A4 2 43 0.7559055089950562;656 F4 2 43 0.7559055089950562;658 F4 2 43 0.7559055089950562;658 A4 2 43 0.7559055089950562;660 D4 4 43 0.7559055089950562;666 F4 2 43 0.7559055089950562;668 G4 4 43 0.7559055089950562;668 G4 4 43 0.7559055089950562;672 G4 2 43 0.7559055089950562;676 D#4 2 43 0.7559055089950562;676 D#4 2 43 0.7559055089950562;678 D#4 2 43 0.7559055089950562;678 G4 2 43 0.7559055089950562;680 D#4 2 43 0.7559055089950562;682 D#4 2 43 0.7559055089950562;682 G4 2 43 0.7559055089950562;684 D4 2 43 0.7559055089950562;684 D4 2 43 0.7559055089950562;686 D4 2 43 0.7559055089950562;686 F#4 2 43 0.7559055089950562;688 D4 2 43 0.7559055089950562;690 D4 2 43 0.7559055089950562;690 F#4 2 43 0.7559055089950562;692 G4 4 43 0.7559055089950562;692 G4 4 43 0.7559055089950562;696 G4 2 43 0.7559055089950562;700 G#4 2 43 0.7559055089950562;700 G#4 2 43 0.7559055089950562;702 D#4 2 43 0.7559055089950562;704 A#3 2 43 0.7559055089950562;706 F4 2 43 0.7559055089950562;708 D#4 2 43 0.7559055089950562;708 D#4 2 43 0.7559055089950562;710 D#4 2 43 0.7559055089950562;710 G4 2 43 0.7559055089950562;712 D#4 2 43 0.7559055089950562;714 G4 2 43 0.7559055089950562;716 F4 2 43 0.7559055089950562;716 F4 2 43 0.7559055089950562;718 F4 2 43 0.7559055089950562;718 A4 2 43 0.7559055089950562;720 F4 2 43 0.7559055089950562;722 F4 2 43 0.7559055089950562;722 A4 2 43 0.7559055089950562;724 D4 4 43 0.7559055089950562;724 D4 4 43 0.7559055089950562;728 D4 2 43 0.7559055089950562;730 F4 2 43 0.7559055089950562;732 G4 4 43 0.7559055089950562;732 G4 4 43 0.7559055089950562;736 G4 2 43 0.7559055089950562;740 D#4 2 43 0.7559055089950562;740 D#4 2 43 0.7559055089950562;742 D#4 2 43 0.7559055089950562;742 G4 2 43 0.7559055089950562;744 D#4 2 43 0.7559055089950562;746 D#4 2 43 0.7559055089950562;746 G4 2 43 0.7559055089950562;748 D#4 2 43 0.7559055089950562;750 D#4 2 43 0.7559055089950562;750 G4 2 43 0.7559055089950562;752 D#4 2 43 0.7559055089950562;754 D#4 2 43 0.7559055089950562;754 G4 2 43 0.7559055089950562;756 D4 2 43 0.7559055089950562;756 D4 2 43 0.7559055089950562;758 C4 2 43 0.7559055089950562;760 D4 2 43 0.7559055089950562;762 G4 2 43 0.7559055089950562;764 A4 2 43 0.7559055089950562;766 C5 2 43 0.7559055089950562;768 D4 1 43 0.7559055089950562;769 A4 1 43 0.7559055089950562;770 D4 2 43 0.7559055089950562;772 D#4 2 43 0.7559055089950562;772 D#4 2 43 0.7559055089950562;774 D#4 2 43 0.7559055089950562;774 G4 2 43 0.7559055089950562;776 D#4 2 43 0.7559055089950562;778 D#4 2 43 0.7559055089950562;778 G4 2 43 0.7559055089950562;780 F4 2 43 0.7559055089950562;780 F4 2 43 0.7559055089950562;782 F4 2 43 0.7559055089950562;782 A4 2 43 0.7559055089950562;784 F4 2 43 0.7559055089950562;786 F4 2 43 0.7559055089950562;786 A4 2 43 0.7559055089950562;788 D4 4 43 0.7559055089950562;788 D4 4 43 0.7559055089950562;792 D4 2 43 0.7559055089950562;794 F4 2 43 0.7559055089950562;796 G4 4 43 0.7559055089950562;796 G4 4 43 0.7559055089950562;800 G4 2 43 0.7559055089950562;804 D#4 2 43 0.7559055089950562;804 D#4 2 43 0.7559055089950562;806 D#4 2 43 0.7559055089950562;806 G4 2 43 0.7559055089950562;808 D#4 2 43 0.7559055089950562;810 D#4 2 43 0.7559055089950562;810 G4 2 43 0.7559055089950562;812 D4 2 43 0.7559055089950562;814 D4 2 43 0.7559055089950562;814 F#4 2 43 0.7559055089950562;816 D4 2 43 0.7559055089950562;818 D4 2 43 0.7559055089950562;818 F#4 2 43 0.7559055089950562;820 G4 4 43 0.7559055089950562;820 G4 4 43 0.7559055089950562;824 G4 2 43 0.7559055089950562;828 G#4 2 43 0.7559055089950562;828 G#4 2 43 0.7559055089950562;830 D#4 2 43 0.7559055089950562;832 A#3 2 43 0.7559055089950562;834 F4 2 43 0.7559055089950562;836 D#4 2 43 0.7559055089950562;836 D#4 2 43 0.7559055089950562;838 D#4 2 43 0.7559055089950562;838 G4 2 43 0.7559055089950562;840 D#4 2 43 0.7559055089950562;842 D#4 2 43 0.7559055089950562;842 G4 2 43 0.7559055089950562;844 F4 2 43 0.7559055089950562;844 F4 2 43 0.7559055089950562;846 F4 2 43 0.7559055089950562;846 A4 2 43 0.7559055089950562;848 F4 2 43 0.7559055089950562;850 F4 2 43 0.7559055089950562;850 A4 2 43 0.7559055089950562;852 F#4 2 43 0.7559055089950562;852 F#4 2 43 0.7559055089950562;854 F#4 2 43 0.7559055089950562;854 A4 2 43 0.7559055089950562;856 F#4 2 43 0.7559055089950562;858 F#4 2 43 0.7559055089950562;858 A4 2 43 0.7559055089950562;860 G4 4 43 0.7559055089950562;860 G4 4 43 0.7559055089950562;864 G4 2 43 0.7559055089950562;868 D#4 2 43 0.7559055089950562;870 A#3 2 43 0.7559055089950562;872 D#4 2 43 0.7559055089950562;872 D#4 2 43 0.7559055089950562;874 A#3 1 43 0.7559055089950562;875 F4 3 43 0.7559055089950562;875 F4 3 43 0.7559055089950562;878 F4 2 43 0.7559055089950562;878 F4 2 43 0.7559055089950562;880 A#4 1 43 0.7559055089950562;881 C5 1 43 0.7559055089950562;884 D#4 4 43 0.7559055089950562;884 D#4 4 43 0.7559055089950562;888 D#4 2 43 0.7559055089950562;890 D#4 2 43 0.7559055089950562;890 G4 2 43 0.7559055089950562;892 D4 4 43 0.7559055089950562;892 D4 4 43 0.7559055089950562;896 D4 2 43 0.7559055089950562;898 F4 2 43 0.7559055089950562;900 G4 4 43 0.7559055089950562;900 G4 4 43 0.7559055089950562;904 G4 2 43 0.7559055089950562;908 F4 2 43 0.7559055089950562;908 F4 2 43 0.7559055089950562;910 F4 2 43 0.7559055089950562;910 A4 2 43 0.7559055089950562;912 F4 2 43 0.7559055089950562;914 F4 2 43 0.7559055089950562;914 A4 2 43 0.7559055089950562;916 D#4 2 43 0.7559055089950562;916 D#4 2 43 0.7559055089950562;918 D#4 2 43 0.7559055089950562;918 G4 2 43 0.7559055089950562;920 D#4 2 43 0.7559055089950562;922 D#4 2 43 0.7559055089950562;922 G4 2 43 0.7559055089950562;924 D4 2 43 0.7559055089950562;924 D4 2 43 0.7559055089950562;926 D4 2 43 0.7559055089950562;926 F#4 2 43 0.7559055089950562;928 D4 2 43 0.7559055089950562;930 D4 2 43 0.7559055089950562;930 F#4 2 43 0.7559055089950562;932 G4 4 43 0.7559055089950562;932 G4 4 43 0.7559055089950562;936 G4 2 43 0.7559055089950562;938 G4 2 43 0.7559055089950562;940 G#4 2 43 0.7559055089950562;940 G#4 2 43 0.7559055089950562;942 D#4 2 43 0.7559055089950562;944 A#3 2 43 0.7559055089950562;946 F4 2 43 0.7559055089950562;948 D#4 2 43 0.7559055089950562;948 D#4 2 43 0.7559055089950562;950 D#4 2 43 0.7559055089950562;950 G4 2 43 0.7559055089950562;952 D#4 2 43 0.7559055089950562;954 D#4 2 43 0.7559055089950562;954 G4 2 43 0.7559055089950562;956 D4 2 43 0.7559055089950562;956 D4 2 43 0.7559055089950562;958 D4 2 43 0.7559055089950562;958 F#4 2 43 0.7559055089950562;960 D4 2 43 0.7559055089950562;962 D4 2 43 0.7559055089950562;962 F#4 2 43 0.7559055089950562;964 G4 4 43 0.7559055089950562;964 G4 4 43 0.7559055089950562;968 G4 2 43 0.7559055089950562;972 F4 2 43 0.7559055089950562;972 F4 2 43 0.7559055089950562;974 F4 2 43 0.7559055089950562;974 A4 2 43 0.7559055089950562;976 F4 2 43 0.7559055089950562;978 F4 2 43 0.7559055089950562;978 A4 2 43 0.7559055089950562;980 D#4 2 43 0.7559055089950562;980 D#4 2 43 0.7559055089950562;982 D#4 2 43 0.7559055089950562;982 G4 2 43 0.7559055089950562;984 D#4 2 43 0.7559055089950562;986 D#4 2 43 0.7559055089950562;986 G4 2 43 0.7559055089950562;988 D4 2 43 0.7559055089950562;988 D4 2 43 0.7559055089950562;990 D4 2 43 0.7559055089950562;990 F#4 2 43 0.7559055089950562;992 D4 2 43 0.7559055089950562;994 D4 2 43 0.7559055089950562;994 F#4 2 43 0.7559055089950562;996 G4 4 43 0.7559055089950562;996 G4 4 43 0.7559055089950562;1004 G4 2 43 0.7559055089950562;1004 G4 2 43 0.7559055089950562;1010 D#4 2 43 0.7559055089950562;1012 G4 3 43 0.6299212574958801;1015 A4 3 43 0.6299212574958801;1018 A#4 2 43 0.6299212574958801;1020 C4 4 43 0.6299212574958801;1020 F4 4 43 0.6299212574958801;1020 A4 4 43 0.6299212574958801;1024 F4 4 43 0.6299212574958801;1024 F4 4 43 0.6299212574958801;1028 G4 4 43 0.6299212574958801;1028 G4 4 43 0.6299212574958801;1032 G4 2 43 0.6299212574958801;1032 G4 2 43 0.6299212574958801;1038 A4 2 43 0.6299212574958801;1040 A#3 2 43 0.6299212574958801;1042 D4 2 43 0.6299212574958801;1044 D#4 2 43 0.6299212574958801;1044 D#4 2 43 0.6299212574958801;1046 D#4 2 43 0.6299212574958801;1046 G4 2 43 0.6299212574958801;1048 D#4 2 43 0.6299212574958801;1050 D#4 2 43 0.6299212574958801;1050 G4 2 43 0.6299212574958801;1052 D4 2 43 0.6299212574958801;1052 D4 2 43 0.6299212574958801;1054 D4 2 43 0.6299212574958801;1054 F#4 2 43 0.6299212574958801;1056 D4 2 43 0.6299212574958801;1058 D4 2 43 0.6299212574958801;1058 F#4 2 43 0.6299212574958801;1060 G4 4 43 0.6299212574958801;1060 G4 4 43 0.6299212574958801;1064 G4 2 43 0.7559055089950562;1064 G4 2 43 0.7559055089950562;1066 D4 2 43 0.7559055089950562;1068 G4 2 43 0.7559055089950562;1070 F4 2 43 0.7559055089950562;1072 D4 2 43 0.7559055089950562;1074 C4 2 43 0.7559055089950562;1078 G4 2 43 0.7559055089950562;1076 D#4 8 43 0.7559055089950562;1082 G4 2 43 0.7559055089950562;1084 F4 3 43 0.7559055089950562;1087 C4 3 43 0.7559055089950562;1092 D4 2 43 0.7559055089950562;1092 A4 2 43 0.7559055089950562;1094 D4 1 43 0.7559055089950562;1095 A4 2 43 0.7559055089950562;1097 F4 2 43 0.7559055089950562;1100 G4 4 43 0.7559055089950562;1100 G4 4 43 0.7559055089950562;1104 G4 2 43 0.7559055089950562;1106 A4 2 43 0.7559055089950562;1108 D#4 2 43 0.7559055089950562;1110 C4 2 43 0.7559055089950562;1112 D4 2 43 0.7559055089950562;1114 F4 4 43 0.7559055089950562;1114 C4 4 43 0.7559055089950562;1114 F4 4 43 0.7559055089950562;1119 F4 1 43 0.7559055089950562;1120 F4 2 43 0.7559055089950562;1122 G4 2 43 0.7559055089950562;1124 G4 2 43 0.6299212574958801;1126 D4 2 43 0.6299212574958801;1126 G4 2 43 0.6299212574958801;1128 G4 1 43 0.6299212574958801;1129 D4 2 43 0.6299212574958801;1129 G4 2 43 0.6299212574958801;1131 G4 1 43 0.6299212574958801;1132 D4 2 43 0.6299212574958801;1132 G4 2 43 0.6299212574958801;1134 G4 2 43 0.6299212574958801;1136 D4 2 43 0.6299212574958801;1138 G4 2 43 0.6929134130477905;1138 G4 2 43 0.6929134130477905;1140 D#4 2 43 0.7559055089950562;1140 D#4 2 43 0.7559055089950562;1142 D#4 2 43 0.7559055089950562;1142 G4 2 43 0.7559055089950562;1144 D#4 2 43 0.7559055089950562;1146 D#4 2 43 0.7559055089950562;1146 G4 2 43 0.7559055089950562;1148 D4 2 43 0.7559055089950562;1148 D4 2 43 0.7559055089950562;1150 D4 2 43 0.7559055089950562;1150 F#4 2 43 0.7559055089950562;1152 D4 2 43 0.7559055089950562;1152 D4 2 43 0.7559055089950562;1154 D4 2 43 0.7559055089950562;1154 F#4 2 43 0.7559055089950562;1156 G4 4 43 0.7559055089950562;1156 G4 4 43 0.7559055089950562;1160 G4 2 43 0.7559055089950562;1164 A#3 2 43 0.7559055089950562;1166 D4 2 43 0.7559055089950562;1168 A#3 2 43 0.7559055089950562;1170 D4 2 43 0.7559055089950562;1172 D#4 2 43 0.7559055089950562;1172 D#4 2 43 0.7559055089950562;1174 D#4 2 43 0.7559055089950562;1174 G4 2 43 0.7559055089950562;1176 D#4 2 43 0.7559055089950562;1178 D#4 2 43 0.7559055089950562;1178 G4 2 43 0.7559055089950562;1180 D4 2 43 0.7559055089950562;1180 D4 2 43 0.7559055089950562;1182 D4 2 43 0.7559055089950562;1182 F#4 2 43 0.7559055089950562;1184 D4 2 43 0.7559055089950562;1184 D4 2 43 0.7559055089950562;1186 D4 2 43 0.7559055089950562;1186 F#4 2 43 0.7559055089950562;1188 G4 4 43 0.7559055089950562;1188 G4 4 43 0.7559055089950562;1192 G4 2 43 0.7559055089950562;1196 G#4 2 43 0.7559055089950562;1196 G#4 2 43 0.7559055089950562;1198 D#4 2 43 0.7559055089950562;1200 A#3 2 43 0.7559055089950562;1202 F4 2 43 0.7559055089950562;1204 D#4 2 43 0.7559055089950562;1204 D#4 2 43 0.7559055089950562;1206 D#4 2 43 0.7559055089950562;1206 G4 2 43 0.7559055089950562;1208 D#4 2 43 0.7559055089950562;1210 D#4 2 43 0.7559055089950562;1210 G4 2 43 0.7559055089950562;1212 F4 2 43 0.7559055089950562;1212 F4 2 43 0.7559055089950562;1214 F4 2 43 0.7559055089950562;1214 A4 2 43 0.7559055089950562;1216 F4 2 43 0.7559055089950562;1218 F4 2 43 0.7559055089950562;1218 A4 2 43 0.7559055089950562;1220 F#4 2 43 0.7559055089950562;1220 F#4 2 43 0.7559055089950562;1222 F#4 2 43 0.7559055089950562;1222 A4 2 43 0.7559055089950562;1224 F#4 2 43 0.7559055089950562;1226 F#4 2 43 0.7559055089950562;1226 A4 2 43 0.7559055089950562;1228 G4 4 43 0.7559055089950562;1228 G4 4 43 0.7559055089950562;1232 G4 2 43 0.7559055089950562;1236 D#4 2 43 0.7559055089950562;1238 A#3 2 43 0.7559055089950562;1240 D#4 2 43 0.7559055089950562;1240 D#4 2 43 0.7559055089950562;1242 A#3 2 43 0.7559055089950562;1244 F4 4 43 0.7559055089950562;1244 F4 4 43 0.7559055089950562;1248 F4 4 43 0.7559055089950562;1248 F4 4 43 0.7559055089950562;1252 D#4 2 43 0.7559055089950562;1252 D#4 2 43 0.7559055089950562;1254 D#4 2 43 0.7559055089950562;1256 D#4 3 43 0.7559055089950562;1259 D4 3 43 0.7559055089950562;1259 D4 3 43 0.7559055089950562;1262 D4 6 43 0.7559055089950562;1264 D4 4 43 0.7559055089950562;1270 G4 2 43 0.7559055089950562;1275 A#3 3 43 0.7559055089950562;1275 F4 3 43 0.7559055089950562;1278 A#3 2 43 0.7559055089950562;1280 A#3 4 43 0.7559055089950562;1284 D#4 2 43 0.7559055089950562;1284 D#4 2 43 0.7559055089950562;1286 A#3 2 43 0.7559055089950562;1288 D#4 3 43 0.7559055089950562;1288 G4 3 43 0.7559055089950562;1291 D4 3 43 0.7559055089950562;1291 D4 3 43 0.7559055089950562;1294 D4 2 43 0.7559055089950562;1294 D4 6 43 0.7559055089950562;1296 A4 4 43 0.7559055089950562;1296 D4 4 43 0.7559055089950562;1302 G4 2 43 0.7559055089950562;1307 G#4 3 43 0.7559055089950562;1307 G#4 3 43 0.7559055089950562;1310 D#4 2 43 0.7559055089950562;1312 A#3 2 43 0.7559055089950562;1314 F4 2 43 0.7559055089950562;1316 D#4 4 43 0.7559055089950562;1316 D#4 4 43 0.7559055089950562;1320 D#4 2 43 0.7559055089950562;1322 D#4 2 43 0.7559055089950562;1322 G4 2 43 0.7559055089950562;1324 D4 4 43 0.7559055089950562;1324 D4 4 43 0.7559055089950562;1328 D4 2 43 0.7559055089950562;1330 F4 2 43 0.7559055089950562;1332 G4 4 43 0.7559055089950562;1332 G4 4 43 0.7559055089950562;1336 G4 2 43 0.7559055089950562;1336 G4 2 43 0.7559055089950562;1340 A#3 4 43 0.7559055089950562;1344 A#3 2 43 0.7559055089950562;1346 D4 2 43 0.7559055089950562;1348 D#4 2 43 0.7559055089950562;1348 D#4 2 43 0.7559055089950562;1350 D#4 2 43 0.7559055089950562;1350 G4 2 43 0.7559055089950562;1352 D#4 2 43 0.7559055089950562;1354 D#4 2 43 0.7559055089950562;1354 G4 2 43 0.7559055089950562;1356 D4 2 43 0.7559055089950562;1356 D4 2 43 0.7559055089950562;1358 D4 2 43 0.7559055089950562;1358 F#4 2 43 0.7559055089950562;1360 D4 2 43 0.7559055089950562;1362 D4 2 43 0.7559055089950562;1362 F#4 2 43 0.7559055089950562;1364 G4 4 43 0.7559055089950562;1364 G4 4 43 0.7559055089950562;1368 G4 2 43 0.7559055089950562;1372 G4 2 43 0.7559055089950562;1374 D4 2 43 0.7559055089950562;1376 G4 4 43 0.7559055089950562;1376 G4 4 43 0.7559055089950562;1380 D#4 4 43 0.7559055089950562;1380 D#4 4 43 0.7559055089950562;1384 D#4 2 43 0.7559055089950562;1386 D#4 2 43 0.7559055089950562;1386 G4 2 43 0.7559055089950562;1388 D4 2 43 0.7559055089950562;1388 D4 2 43 0.7559055089950562;1390 D4 2 43 0.7559055089950562;1390 F#4 2 43 0.7559055089950562;1392 D4 2 43 0.7559055089950562;1394 D4 2 43 0.7559055089950562;1394 F#4 2 43 0.7559055089950562;1396 G4 4 43 0.7559055089950562;1396 G4 4 43 0.7559055089950562;1400 G4 2 43 0.7559055089950562;1404 A#3 2 43 0.7559055089950562;1406 D4 2 43 0.7559055089950562;1408 A#3 2 43 0.7559055089950562;1410 D4 2 43 0.7559055089950562;1412 D#4 4 43 0.7559055089950562;1412 D#4 4 43 0.7559055089950562;1416 D#4 2 43 0.7559055089950562;1418 D#4 2 43 0.7559055089950562;1418 G4 2 43 0.7559055089950562;1420 D4 2 43 0.7559055089950562;1420 D4 2 43 0.7559055089950562;1422 D4 2 43 0.7559055089950562;1422 F#4 2 43 0.7559055089950562;1424 D4 2 43 0.7559055089950562;1426 D4 2 43 0.7559055089950562;1426 F#4 2 43 0.7559055089950562;1428 G4 4 43 0.7559055089950562;1428 G4 4 43 0.7559055089950562;1432 G4 2 43 0.7559055089950562;1436 G#4 2 43 0.7559055089950562;1436 G#4 2 43 0.7559055089950562;1438 D#4 2 43 0.7559055089950562;1440 A#3 2 43 0.7559055089950562;1442 F4 2 43 0.7559055089950562;1444 D#4 2 43 0.7559055089950562;1444 D#4 2 43 0.7559055089950562;1446 D#4 2 43 0.7559055089950562;1446 G4 2 43 0.7559055089950562;1448 D#4 2 43 0.7559055089950562;1450 D#4 2 43 0.7559055089950562;1450 G4 2 43 0.7559055089950562;1452 F4 2 43 0.7559055089950562;1452 F4 2 43 0.7559055089950562;1454 F4 2 43 0.7559055089950562;1454 A4 2 43 0.7559055089950562;1456 F4 2 43 0.7559055089950562;1458 F4 2 43 0.7559055089950562;1458 A4 2 43 0.7559055089950562;1460 D4 4 43 0.7559055089950562;1460 D4 4 43 0.7559055089950562;1464 D4 2 43 0.7559055089950562;1466 F4 2 43 0.7559055089950562;1468 G4 4 43 0.7559055089950562;1468 G4 4 43 0.7559055089950562;1472 G4 2 43 0.7559055089950562;1476 D#4 2 43 0.7559055089950562;1476 D#4 2 43 0.7559055089950562;1478 D#4 2 43 0.7559055089950562;1478 G4 2 43 0.7559055089950562;1480 D#4 2 43 0.7559055089950562;1482 D#4 2 43 0.7559055089950562;1482 G4 2 43 0.7559055089950562;1484 D4 2 43 0.7559055089950562;1486 A4 2 43 0.7559055089950562;1488 D4 2 43 0.7559055089950562;1488 D4 2 43 0.7559055089950562;1490 A4 2 43 0.7559055089950562;1492 G4 4 43 0.7559055089950562;1492 G4 4 43 0.7559055089950562;1496 G4 2 43 0.7559055089950562;1500 G4 2 43 0.7559055089950562;1502 D4 2 43 0.7559055089950562;1504 G4 2 43 0.7559055089950562;1504 G4 2 43 0.7559055089950562;1506 D4 2 43 0.7559055089950562;1508 D#4 1 43 0.7559055089950562;1508 D#4 1 43 0.7559055089950562;1511 D#4 1 43 0.7559055089950562;1511 D#4 1 43 0.7559055089950562;1514 F4 3 43 0.7559055089950562;1514 F4 3 43 0.7559055089950562;1517 G4 1 43 0.7559055089950562;1519 A4 1 43 0.7559055089950562;1520 F4 1 43 0.7559055089950562;1521 G4 1 43 0.7559055089950562;1522 C4 2 43 0.7559055089950562;1522 F4 2 43 0.7559055089950562;1524 D4 1 43 0.7559055089950562;1524 D4 1 43 0.7559055089950562;1527 D4 1 43 0.7559055089950562;1527 D4 1 43 0.7559055089950562;1530 G4 3 43 0.7559055089950562;1530 G4 3 43 0.7559055089950562;1533 G4 1 43 0.7559055089950562;1534 G4 1 43 0.7559055089950562;1535 F4 1 43 0.7559055089950562;1536 D4 2 43 0.7559055089950562;1539 D#4 5 43 0.7559055089950562;1539 D#4 5 43 0.7559055089950562;1544 D#4 2 43 0.7559055089950562;1546 D#4 2 43 0.7559055089950562;1546 G4 2 43 0.7559055089950562;1548 D4 2 43 0.7559055089950562;1548 D4 2 43 0.7559055089950562;1550 D4 2 43 0.7559055089950562;1550 F#4 2 43 0.7559055089950562;1552 D4 2 43 0.7559055089950562;1554 D4 2 43 0.7559055089950562;1554 F#4 2 43 0.7559055089950562;1556 G4 4 43 0.7559055089950562;1556 G4 4 43 0.7559055089950562;1560 G4 2 43 0.7559055089950562;1564 G#4 2 43 0.7559055089950562;1564 G#4 2 43 0.7559055089950562;1566 D#4 2 43 0.7559055089950562;1568 A#3 2 43 0.7559055089950562;1570 F4 2 43 0.7559055089950562;1572 D#4 2 43 0.7559055089950562;1572 D#4 2 43 0.7559055089950562;1574 D#4 2 43 0.7559055089950562;1574 G4 2 43 0.7559055089950562;1576 D#4 2 43 0.7559055089950562;1578 D#4 2 43 0.7559055089950562;1578 G4 2 43 0.7559055089950562;1580 F4 4 43 0.7559055089950562;1580 F4 4 43 0.7559055089950562;1584 F4 2 43 0.7559055089950562;1586 F4 2 43 0.7559055089950562;1586 A4 2 43 0.7559055089950562;1588 D4 4 43 0.7559055089950562;1588 D4 4 43 0.7559055089950562;1592 D4 2 43 0.7559055089950562;1594 G4 4 43 0.7559055089950562;1594 G4 4 43 0.7559055089950562;1598 G4 2 43 0.7559055089950562;1602 D4 2 43 0.7559055089950562;1604 D#4 2 43 0.7559055089950562;1604 D#4 2 43 0.7559055089950562;1606 D#4 2 43 0.7559055089950562;1606 G4 2 43 0.7559055089950562;1608 D#4 2 43 0.7559055089950562;1610 A#3 2 43 0.7559055089950562;1612 D#4 2 43 0.7559055089950562;1612 D#4 2 43 0.7559055089950562;1614 A#3 2 43 0.7559055089950562;1614 D#4 2 43 0.7559055089950562;1616 D#4 2 43 0.7559055089950562;1616 D#4 2 43 0.7559055089950562;1618 A#3 2 43 0.7559055089950562;1618 D#4 2 43 0.7559055089950562;1620 D4 2 43 0.7559055089950562;1620 D4 2 43 0.7559055089950562;1622 D4 2 43 0.7559055089950562;1622 D4 2 43 0.7559055089950562;1624 D4 2 43 0.7559055089950562;1624 D4 2 43 0.7559055089950562;1626 D4 2 43 0.7559055089950562;1626 D4 2 43 0.7559055089950562;1628 D4 2 43 0.7559055089950562;1628 D4 2 43 0.7559055089950562;1630 D4 2 43 0.7559055089950562;1630 D4 2 43 0.7559055089950562;1632 D4 2 43 0.7559055089950562;1632 D4 2 43 0.7559055089950562;1634 D4 4 43 0.7559055089950562;1634 D4 4 43 0.7559055089950562;1652 D#4 8 43 0.5039370059967041;1652 A#4 8 43 0.5039370059967041;1652 D5 8 43 0.5039370059967041;1652 F5 8 43 0.5039370059967041;1660 F4 8 43 0.5039370059967041;1660 A4 8 43 0.5039370059967041;1660 C5 8 43 0.5039370059967041;1660 F5 8 43 0.5039370059967041;1668 D4 8 43 0.5039370059967041;1668 A4 8 43 0.5039370059967041;1668 C5 8 43 0.5039370059967041;1676 G5 8 43 0.5039370059967041;1676 A#4 8 43 0.5039370059967041;1676 D5 8 43 0.5039370059967041;1676 F5 8 43 0.5039370059967041;1684 D#4 8 43 0.5039370059967041;1684 A#4 8 43 0.5039370059967041;1684 D5 8 43 0.5039370059967041;1692 F#4 8 43 0.5039370059967041;1692 A4 8 43 0.5039370059967041;1692 C5 8 43 0.5039370059967041;1692 D#5 8 43 0.5039370059967041;1700 G4 8 43 0.5039370059967041;1700 A#4 8 43 0.5039370059967041;1700 D5 8 43 0.5039370059967041;1708 F4 4 43 0.5039370059967041;1708 G#4 4 43 0.5039370059967041;1708 C5 4 43 0.5039370059967041;1708 D#5 4 43 0.5039370059967041;1712 A#3 4 43 0.5039370059967041;1712 D4 4 43 0.5039370059967041;1712 F4 4 43 0.5039370059967041;1712 A#4 4 43 0.5039370059967041;1716 D#3 2 43 0.6299212574958801;1718 A#3 2 43 0.6299212574958801;1720 D#4 2 43 0.6299212574958801;1722 G4 2 43 0.6299212574958801;1724 F3 2 43 0.6299212574958801;1726 C4 2 43 0.6299212574958801;1728 F4 2 43 0.6299212574958801;1730 A4 2 43 0.6299212574958801;1732 D3 2 43 0.6299212574958801;1734 A3 2 43 0.6299212574958801;1736 C4 2 43 0.6299212574958801;1738 F4 2 43 0.6299212574958801;1740 G3 2 43 0.6299212574958801;1742 A#3 2 43 0.6299212574958801;1744 D4 4 43 0.6299212574958801;1748 D#3 2 43 0.6299212574958801;1748 D#3 2 43 0.6299212574958801;1750 A#3 2 43 0.6299212574958801;1752 D#3 2 43 0.6299212574958801;1752 D#3 2 43 0.6299212574958801;1754 A#3 2 43 0.6456692814826965;1756 D#3 2 43 0.6692913174629211;1756 D#3 2 43 0.6692913174629211;1758 A#3 2 43 0.6929134130477905;1760 D#3 2 43 0.7086614370346069;1760 D#3 2 43 0.7086614370346069;1762 A#3 2 43 0.7322834730148315;1764 D3 2 43 0.7559055089950562;1764 D3 2 43 0.7559055089950562;1766 D3 2 43 0.7559055089950562;1766 D3 2 43 0.7559055089950562;1768 D3 2 43 0.7559055089950562;1768 D3 2 43 0.7559055089950562;1770 D3 2 43 0.7559055089950562;1770 D3 2 43 0.7559055089950562;1772 D3 2 43 0.7559055089950562;1772 D3 2 43 0.7559055089950562;1774 C#3 2 43 0.7559055089950562;1774 C#3 2 43 0.7559055089950562;1788 D4 2 43 0.7559055089950562;1788 D4 2 43 0.7559055089950562;1790 D4 1 43 0.7559055089950562;1791 D4 1 43 0.7559055089950562;1791 F4 1 43 0.7559055089950562;1792 D4 2 43 0.7559055089950562;1794 D4 2 43 0.7559055089950562;1794 D4 2 43 0.7559055089950562;1796 D#4 4 43 0.7559055089950562;1796 D#4 4 43 0.7559055089950562;1800 D#4 2 43 0.7559055089950562;1802 D#4 2 43 0.7559055089950562;1802 G4 2 43 0.7559055089950562;1804 F4 2 43 0.7559055089950562;1804 F4 2 43 0.7559055089950562;1806 F4 2 43 0.7559055089950562;1806 A4 2 43 0.7559055089950562;1808 F4 2 43 0.7559055089950562;1810 F4 2 43 0.7559055089950562;1810 A4 2 43 0.7559055089950562;1812 D4 2 43 0.7559055089950562;1812 D4 2 43 0.7559055089950562;1814 D4 2 43 0.7559055089950562;1814 F4 2 43 0.7559055089950562;1816 D4 2 43 0.7559055089950562;1818 D4 2 43 0.7559055089950562;1818 F4 2 43 0.7559055089950562;1820 G4 2 43 0.7559055089950562;1820 G4 2 43 0.7559055089950562;1822 G4 2 43 0.7559055089950562;1822 A#4 2 43 0.7559055089950562;1824 G4 2 43 0.7559055089950562;1826 G4 2 43 0.7559055089950562;1826 A#4 2 43 0.7559055089950562;1828 D#4 2 43 0.7559055089950562;1828 D#4 2 43 0.7559055089950562;1830 D#4 2 43 0.7559055089950562;1830 G4 2 43 0.7559055089950562;1832 D#4 2 43 0.7559055089950562;1834 D#4 2 43 0.7559055089950562;1834 G4 2 43 0.7559055089950562;1836 D4 2 43 0.7559055089950562;1836 D4 2 43 0.7559055089950562;1838 D4 2 43 0.7559055089950562;1838 F#4 2 43 0.7559055089950562;1840 D4 2 43 0.7559055089950562;1842 D4 2 43 0.7559055089950562;1842 F#4 2 43 0.7559055089950562;1844 G4 2 43 0.7559055089950562;1844 G4 2 43 0.7559055089950562;1846 G4 2 43 0.7559055089950562;1846 A#4 2 43 0.7559055089950562;1848 G4 2 43 0.7559055089950562;1850 G4 2 43 0.7559055089950562;1850 A#4 2 43 0.7559055089950562;1852 G#3 2 43 0.7559055089950562;1854 G#3 2 43 0.7559055089950562;1856 A#3 2 43 0.7559055089950562;1856 A#4 2 43 0.7559055089950562;1858 A#3 2 43 0.7559055089950562;1858 A#4 2 43 0.7559055089950562;1860 D#4 4 43 0.7559055089950562;1860 D#4 4 43 0.7559055089950562;1864 D#4 2 43 0.7559055089950562;1866 D#4 2 43 0.7559055089950562;1866 G4 2 43 0.7559055089950562;1868 F4 2 43 0.7559055089950562;1868 F4 2 43 0.7559055089950562;1870 F4 2 43 0.7559055089950562;1870 A4 2 43 0.7559055089950562;1872 F4 2 43 0.7559055089950562;1874 F4 2 43 0.7559055089950562;1874 A4 2 43 0.7559055089950562;1876 D4 2 43 0.7559055089950562;1878 D4 2 43 0.7559055089950562;1878 F4 2 43 0.7559055089950562;1880 D4 2 43 0.7559055089950562;1882 D4 2 43 0.7559055089950562;1882 F4 2 43 0.7559055089950562;1884 G4 2 43 0.7559055089950562;1884 G4 2 43 0.7559055089950562;1886 G4 2 43 0.7559055089950562;1886 A#4 2 43 0.7559055089950562;1888 G4 2 43 0.7559055089950562;1890 G4 2 43 0.7559055089950562;1890 A#4 2 43 0.7559055089950562;1892 D#4 2 43 0.7559055089950562;1892 D#4 2 43 0.7559055089950562;1894 D#4 2 43 0.7559055089950562;1896 D#4 2 43 0.7559055089950562;1898 D#4 2 43 0.7559055089950562;1900 D#4 2 43 0.7559055089950562;1900 D#4 2 43 0.7559055089950562;1902 D#4 2 43 0.7559055089950562;1902 G5 2 43 0.7559055089950562;1904 D#4 2 43 0.7559055089950562;1906 D#4 2 43 0.7559055089950562;1906 G5 2 43 0.7559055089950562;1908 D4 2 43 0.7559055089950562;1908 D4 2 43 0.7559055089950562;1910 D4 2 43 0.7559055089950562;1912 F#4 2 43 0.7559055089950562;1916 D4 1 43 0.7559055089950562;1918 D#4 1 43 0.7559055089950562;1919 D4 1 43 0.7559055089950562;1920 D4 2 43 0.7559055089950562;1920 D4 2 43 0.7559055089950562;1922 D4 2 43 0.7559055089950562;1922 D4 2 43 0.7559055089950562;1924 D#4 4 43 0.7559055089950562;1924 D#4 4 43 0.7559055089950562;1928 D#4 2 43 0.7559055089950562;1930 D#4 2 43 0.7559055089950562;1930 G5 2 43 0.7559055089950562;1932 F4 2 43 0.7559055089950562;1932 F4 2 43 0.7559055089950562;1934 F5 2 43 0.7559055089950562;1934 A4 2 43 0.7559055089950562;1936 F5 2 43 0.7559055089950562;1938 F5 2 43 0.7559055089950562;1938 A4 2 43 0.7559055089950562;1940 D5 2 43 0.7559055089950562;1940 D5 2 43 0.7559055089950562;1942 D5 2 43 0.7559055089950562;1942 F5 2 43 0.7559055089950562;1944 D5 2 43 0.7559055089950562;1946 D5 2 43 0.7559055089950562;1946 F5 2 43 0.7559055089950562;1948 G5 2 43 0.7559055089950562;1948 G5 2 43 0.7559055089950562;1950 G5 2 43 0.7559055089950562;1950 A#4 2 43 0.7559055089950562;1952 G5 2 43 0.7559055089950562;1954 G5 2 43 0.7559055089950562;1954 A#4 2 43 0.7559055089950562;1956 D#5 2 43 0.7559055089950562;1956 D#5 2 43 0.7559055089950562;1958 D#5 2 43 0.7559055089950562;1958 G5 2 43 0.7559055089950562;1960 D#5 2 43 0.7559055089950562;1962 D#5 2 43 0.7559055089950562;1962 G5 2 43 0.7559055089950562;1964 D5 2 43 0.7559055089950562;1964 D5 2 43 0.7559055089950562;1966 F#5 2 43 0.7559055089950562;1966 A5 2 43 0.7559055089950562;1968 D5 2 43 0.7559055089950562;1970 F#5 2 43 0.7559055089950562;1970 A5 2 43 0.7559055089950562;1972 G5 2 43 0.7559055089950562;1972 G5 2 43 0.7559055089950562;1974 G5 2 43 0.7559055089950562;1974 A#5 2 43 0.7559055089950562;1976 G5 2 43 0.7559055089950562;1978 G5 2 43 0.7559055089950562;1978 A#5 2 43 0.7559055089950562;1980 G#4 2 43 0.7559055089950562;1982 G#4 2 43 0.7559055089950562;1984 A#4 2 43 0.7559055089950562;1984 A#4 2 43 0.7559055089950562;1986 A#4 2 43 0.7559055089950562;1986 A#4 2 43 0.7559055089950562;1988 D#5 4 43 0.7559055089950562;1988 D#5 4 43 0.7559055089950562;1992 D#5 2 43 0.7559055089950562;1994 D#5 2 43 0.7559055089950562;1994 G5 2 43 0.7559055089950562;1996 F5 2 43 0.7559055089950562;1996 F5 2 43 0.7559055089950562;1998 F5 2 43 0.7559055089950562;1998 A5 2 43 0.7559055089950562;2000 F5 2 43 0.7559055089950562;2002 F5 2 43 0.7559055089950562;2002 A5 2 43 0.7559055089950562;2004 F#5 2 43 0.7559055089950562;2004 F#5 2 43 0.7559055089950562;2006 F#5 2 43 0.7559055089950562;2006 A#5 2 43 0.7559055089950562;2008 F#5 2 43 0.7559055089950562;2008 F#5 2 43 0.7559055089950562;2010 F#5 2 43 0.7559055089950562;2010 A#5 2 43 0.7559055089950562;2012 G5 4 43 0.7559055089950562;2012 G5 4 43 0.7559055089950562;2016 G5 2 43 0.7559055089950562;2018 G5 2 43 0.7559055089950562;2020 D#5 2 43 0.7559055089950562;2020 D#5 2 43 0.7559055089950562;2022 D#5 2 43 0.7559055089950562;2022 G5 2 43 0.7559055089950562;2024 D#5 2 43 0.7559055089950562;2024 D#5 2 43 0.7559055089950562;2026 D#5 2 43 0.7559055089950562;2026 G5 2 43 0.7559055089950562;2028 F5 2 43 0.7559055089950562;2028 F5 2 43 0.7559055089950562;2030 F5 2 43 0.7559055089950562;2030 A5 2 43 0.7559055089950562;2032 F5 2 43 0.7559055089950562;2034 F5 2 43 0.7559055089950562;2034 A5 2 43 0.7559055089950562;2036 A#4 4 43 0.7559055089950562;2036 A#4 4 43 0.7559055089950562;2040 A#4 2 43 0.7559055089950562;2042 A#4 2 43 0.7559055089950562;2044 A#4 2 43 0.7559055089950562;2046 D#4 2 43 0.7559055089950562;2046 G4 2 43 0.7559055089950562;2048 D#4 2 43 0.7559055089950562;2050 D#4 2 43 0.7559055089950562;2050 G4 2 43 0.7559055089950562;2052 G#4 2 43 0.7559055089950562;2052 G#4 2 43 0.7559055089950562;2054 G#4 2 43 0.7559055089950562;2054 C5 2 43 0.7559055089950562;2056 G#4 2 43 0.7559055089950562;2058 G#4 2 43 0.7559055089950562;2058 C5 2 43 0.7559055089950562;2060 A#4 2 43 0.7559055089950562;2060 A#4 2 43 0.7559055089950562;2062 A#4 2 43 0.7559055089950562;2062 D5 2 43 0.7559055089950562;2064 A#4 2 43 0.7559055089950562;2066 A#4 2 43 0.7559055089950562;2066 D5 2 43 0.7559055089950562;2068 D#5 2 43 0.7559055089950562;2070 A#4 2 43 0.7559055089950562;2072 D#5 4 43 0.7559055089950562;2072 D#5 4 43 0.7559055089950562;2076 C#5 4 43 0.7559055089950562;2080 D#5 4 43 0.7559055089950562;2080 D#5 4 43 0.7559055089950562;2084 G#4 3 43 0.7559055089950562;2084 G#4 3 43 0.7559055089950562;2087 G#4 4 43 0.7559055089950562;2087 G#4 4 43 0.7559055089950562;2091 A#4 3 43 0.7559055089950562;2091 F4 3 43 0.7559055089950562;2091 A#4 3 43 0.7559055089950562;2094 A#4 2 43 0.7559055089950562;2094 F4 2 43 0.7559055089950562;2094 A#4 2 43 0.7559055089950562;2100 G#4 4 43 0.7559055089950562;2100 G#4 4 43 0.7559055089950562;2104 G#4 2 43 0.7559055089950562;2106 G#4 2 43 0.7559055089950562;2106 C5 2 43 0.7559055089950562;2108 A#4 2 43 0.7559055089950562;2108 A#4 2 43 0.7559055089950562;2110 A#4 2 43 0.7559055089950562;2110 D5 2 43 0.7559055089950562;2112 A#4 2 43 0.7559055089950562;2114 A#4 2 43 0.7559055089950562;2114 D5 2 43 0.7559055089950562;2116 G4 2 43 0.7559055089950562;2116 G4 2 43 0.7559055089950562;2118 G4 2 43 0.7559055089950562;2120 G4 2 43 0.7559055089950562;2122 A#4 2 43 0.7559055089950562;2124 C5 2 43 0.7559055089950562;2124 C5 2 43 0.7559055089950562;2126 C5 2 43 0.7559055089950562;2126 D#5 2 43 0.7559055089950562;2128 C5 2 43 0.7559055089950562;2130 C5 2 43 0.7559055089950562;2130 D#5 2 43 0.7559055089950562;2132 G#4 2 43 0.7559055089950562;2132 G#4 2 43 0.7559055089950562;2134 G#4 2 43 0.7559055089950562;2134 C5 2 43 0.7559055089950562;2136 G#4 2 43 0.7559055089950562;2138 G#4 2 43 0.7559055089950562;2138 C5 2 43 0.7559055089950562;2140 G4 2 43 0.7559055089950562;2140 G4 2 43 0.7559055089950562;2142 B4 2 43 0.7559055089950562;2142 D5 2 43 0.7559055089950562;2144 G4 2 43 0.7559055089950562;2146 B4 2 43 0.7559055089950562;2146 D5 2 43 0.7559055089950562;2148 C5 2 43 0.7559055089950562;2148 C5 2 43 0.7559055089950562;2150 C5 2 43 0.7559055089950562;2150 D#5 2 43 0.7559055089950562;2152 C5 2 43 0.7559055089950562;2154 C5 2 43 0.7559055089950562;2154 D#5 2 43 0.7559055089950562;2156 C#4 2 43 0.7559055089950562;2158 C#4 2 43 0.7559055089950562;2160 D#4 2 43 0.7559055089950562;2160 D#5 2 43 0.7559055089950562;2162 A#4 2 43 0.7559055089950562;2164 G#4 2 43 0.7559055089950562;2164 G#4 2 43 0.7559055089950562;2166 G#4 2 43 0.7559055089950562;2166 C5 2 43 0.7559055089950562;2168 G#4 2 43 0.7559055089950562;2170 G#4 2 43 0.7559055089950562;2170 C5 2 43 0.7559055089950562;2172 A#4 2 43 0.7559055089950562;2172 A#4 2 43 0.7559055089950562;2174 A#4 2 43 0.7559055089950562;2174 D5 2 43 0.7559055089950562;2176 A#4 2 43 0.7559055089950562;2178 A#4 2 43 0.7559055089950562;2178 D5 2 43 0.7559055089950562;2180 B4 2 43 0.7559055089950562;2180 B4 2 43 0.7559055089950562;2182 D#5 2 43 0.7559055089950562;2184 B4 2 43 0.7559055089950562;2186 B4 2 43 0.7559055089950562;2186 D5 2 43 0.7559055089950562;2188 C5 2 43 0.7559055089950562;2188 C5 2 43 0.7559055089950562;2190 C5 2 43 0.7559055089950562;2190 D#5 2 43 0.7559055089950562;2192 C5 2 43 0.7559055089950562;2194 C5 2 43 0.7559055089950562;2194 D#5 2 43 0.7559055089950562;2196 G#4 2 43 0.7559055089950562;2196 G#4 2 43 0.7559055089950562;2198 G#4 2 43 0.7559055089950562;2198 C5 2 43 0.7559055089950562;2200 G#4 2 43 0.7559055089950562;2202 G#4 2 43 0.7559055089950562;2202 C5 2 43 0.7559055089950562;2204 G4 4 43 0.7559055089950562;2204 G4 4 43 0.7559055089950562;2208 G4 2 43 0.7559055089950562;2210 D4 2 43 0.7559055089950562;2210 G4 2 43 0.7559055089950562;2212 C5 4 43 0.7559055089950562;2212 C5 4 43 0.7559055089950562;118 F5 2 43 0.6299212574958801;120 F5 4 43 0.6299212574958801;123 D5 1 43 0.6299212574958801;335 A4 1 43 0.7559055089950562;342 A4 1 43 0.7559055089950562;346 A4 1 43 0.7559055089950562;302.6700134277344 G4 1 43 0.7559055089950562;303.3299865722656 A4 1 43 0.7559055089950562;664 D4 2 43;1036 F4 2 43;628 D6 2 43;628 A5 3 43;631 A5 3 43;628 F#5 4 43;631.75 F#5 2.25 43;634 G4 2 43;633 A4 1 43;631 A4 1 43;1634 D6 2 43;1634 A5 4 43;2220 C5 3 43;2220 D#4 3 43;2223 G4 3 43;2220 G4 3 43;2223 C5 3 43;2223 D#4 3 43;2226 C5 18 43;2226 D#4 18 43;2226 G4 18 43;2223 C7 1 43;2223 G6 1 43;2223 D#6 1 43;2226 C7 1 43;2226 A#6 1 43;2226 G6 1 43;2226 D#6 1 43;1870 A#5 2 43 0.6299212574958801;1872 C6 2 43 0.6299212574958801;1874 G6 2 43 0.6299212574958801;1876 C6 2 43 0.6299212574958801;1876 F6 2 43 0.6299212574958801;1878 G6 1 43 0.6299212574958801;1879 C6 1 43 0.6299212574958801;1879.56005859375 D6 1 43 0.6299212574958801;1879.56005859375 F6 1 43 0.6299212574958801;1881 A#5 1 43 0.6299212574958801;1882 C6 2 43 0.6299212574958801;1884 A#5 4 43 0.6299212574958801;2163 A#5 1 43 0.7559055089950562;2163 A#6 1 43 0.7559055089950562;2179 D6 1 43 0.7559055089950562;2195 A#5 1 43 0.7559055089950562;2214 A#4 1 43 0.7559055089950562;2215 C5 1 43 0.7559055089950562;2216 D#5 1 43 0.7559055089950562;2217 F5 1 43 0.7559055089950562;2218 G5 1 43 0.7559055089950562;2219 C6 1 43 0.7559055089950562;2220 D#5 1 43 0.9055117964744568;2220 G5 1 43 0.9055117964744568;2220 A#5 1 43 0.9055117964744568;2223 C6 1 43;2223 G5 1 43;2223 D#5 1 43;2226 D#6 1 43;2226 A#5 1 43;2226 G5 1 43;2226 D#5 1 43;1646 A5 1 43 0.5039370059967041;1647 A#5 1 43 0.5039370059967041;1648 A5 2 43 0.5039370059967041;1650 F6 2 43 0.5039370059967041;1764 D4 2 43 0.7559055089950562;1764 D4 2 43 0.7559055089950562;1766 D4 2 43 0.7559055089950562;1766 D4 2 43 0.7559055089950562;1768 D4 2 43 0.7559055089950562;1768 D4 2 43 0.7559055089950562;1770 D4 2 43 0.7559055089950562;1770 D4 2 43 0.7559055089950562;1772 D4 2 43 0.7559055089950562;1772 D4 2 43 0.7559055089950562;1774 C#4 2 43 0.7559055089950562;1774 C#4 2 43 0.7559055089950562;1966 A#5 2 43 0.7559055089950562;2068 D#4 2 43 0.7559055089950562;2072 D#4 4 43 0.7559055089950562;2072 D#4 4 43 0.7559055089950562;2076 C#4 4 43 0.7559055089950562;2080 D#4 4 43 0.7559055089950562;2151 A#5 3 43 0.7559055089950562;1906 G6 2 43 0.7559055089950562;1908 F#6 4 43 0.7559055089950562;1894 G4 2 43 0.7559055089950562;1906 G4 2 43 0.7559055089950562;1902 G4 2 43 0.7559055089950562;1898 G4 2 43 0.7559055089950562;1788 A6 2 43;2040 G6 2 43;2036 A#6 1 43 0.7559055089950562"""

text_note = """C
C#
D
D#
E
F
F#
G
G#
A
A#
B"""
note_list3 = [i+'3' for i in text_note.split("\n")]
note_list4 = [i+'4' for i in text_note.split("\n")]
note_list5 = [i+'5' for i in text_note.split("\n")]
note_list6 = [i+'6' for i in text_note.split("\n")]
# note_list7 = [i+'7' for i in text_note.split("\n")]
note_list_full = note_list3+note_list4+note_list5+note_list6+['C7']
note_list_full_dic = dict([note,i] for i,note in enumerate(note_list_full))
key_number = len(note_list_full)

import pandas as pd
l = [i.split(" ") for i in text.split(";")]
df = pd.DataFrame(l)
df[0] = df[0].apply(lambda x:int(float(x)) )
print(df)

df_full = pd.DataFrame([{"bin":[0 for j in range(key_number)]} for i in range(1500)])

print(len(df_full.iloc[0,0]))
for i,l in df.iterrows():
    start = int(float(l[0]))
    end = start+int(float(l[2]))
    if l[1] not in note_list_full_dic.keys():
        print(l[1])
        continue
    index = note_list_full_dic[l[1]]
    # print(str(l))


    for j in range(start,end+1):
        if j >= 1500:
            break
        df_full.iloc[j,0][index] = 1

df_full['bin'].apply(lambda x:x.reverse())
df_full['bin'] = df_full['bin'].apply(lambda x:"".join([str(i) for i in list(x)]))
df_full.to_csv("music_49_yoru.mem",index=0,header=0)

二. 运行现象

  • 开机会自动播放音乐
  • 按键盘上的上键, 可以使用C3-C4的音符
  • 按键盘上的下键, 可以使用C4-C5的音符

1. 编译日志

只用了67%的Luts

Design Summary:
   Number of registers:   1586 out of  4635 (34%)
      PFU registers:         1573 out of  4320 (36%)
      PIO registers:           13 out of   315 (4%)
   Number of SLICEs:      1447 out of  2160 (67%)
      SLICEs as Logic/ROM:   1447 out of  2160 (67%)
      SLICEs as RAM:            0 out of  1620 (0%)
      SLICEs as Carry:        725 out of  2160 (34%)
   Number of LUT4s:        2808 out of  4320 (65%)
      Number used as logic LUTs:        1358
      Number used as distributed RAM:     0
      Number used as ripple logic:      1450
      Number used as shift registers:     0
   Number of PIO sites used: 19 + 4(JTAG) out of 105 (22%)
   Number of block RAMs:  9 out of 10 (90%)
   Number of GSRs:        1 out of 1 (100%)
   EFB used :        No
   JTAG used :       No
   Readback used :   No
   Oscillator used : No
   Startup used :    No
   POR :             On
   Bandgap :         On
   Number of Power Controller:  0 out of 1 (0%)
   Number of Dynamic Bank Controller (BCINRD):  0 out of 6 (0%)
   Number of Dynamic Bank Controller (BCLVDSO):  0 out of 1 (0%)
   Number of DCCA:  0 out of 8 (0%)
   Number of DCMA:  0 out of 2 (0%)
   Number of PLLs:  0 out of 2 (0%)
   Number of DQSDLLs:  0 out of 2 (0%)
   Number of CLKDIVC:  0 out of 4 (0%)
   Number of ECLKSYNCA:  0 out of 4 (0%)
   Number of ECLKBRIDGECS:  0 out of 2 (0%)
   Notes:-
      1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of distributed RAMs) + 2*(Number of ripple logic)
      2. Number of logic LUT4s does not include count of distributed RAM and ripple logic.
   Number of clocks:  52
     Net clk_c: 712 loads, 712 rising, 0 falling (Driver: PIO clk )
     Net u_kmod.clk_200hz: 26 loads, 6 rising, 20 falling (Driver: u_kmod/u_clock_divider/clock_out )
     Net u_key_map/U_note_list/clk_phase: 6 loads, 6 rising, 0 falling (Driver: u_key_map/U_note_list/u_clock_divider/clock_out )
     Net u_kmod/row_4_i: 4 loads, 4 LSLICEs
     Net u_kmod/c_state_6_d: 4 loads, 4 LSLICEs
     Net u_kmod/c_state_d[2]: 4 loads, 4 LSLICEs
   Number of local set/reset loads for net rst_c merged into GSR:  39
   Number of LSRs:  102

3. 开发板运行情况

FhSutXwqDRJ8ui_pxtS3l3kG-D1e

4. 遇到的问题

1. 和声爆音

第一个版本和声会出现爆音的情况, 在研究了多个开源项目之后, 发现许多开源项目都是使用正负数同时存在的数字信号, 使用了之后确实改善了爆音的问题

2. 音符太多导致使用Lut使用量激增

因为设计是每一个音符都需要一个独立的dds查找表, 这样即使使用26个音符也会消耗80%的Lut资源,.

我的第一个想法是使用block ram, 但是每次调用block ram都会使用一块完整的block ram, 这样26个音符就要使用26块ram, 开发板上面只有10个. 而且这样即使真的用上了感觉也是浪费空间.

在群友的提示下想到多端口ram的方法, 利用分时复用的思想, 设置10位的计数器, 利用12M的系统时钟, 每次为一个按键查找对应的信号值, 其他按键保持之前的信号, 这样就可以分别为不同的按键查找值. 成功解决问题

3. Synplify的使用

有时候会遇到port unconnected的问题, 以及综合后使用资源和设计有出入的情况. 原因是综合过程中软件会优化设计, 将一些多余的资源删除掉, 抑或是对对某些语句的理解和实际综合的过程不相符. 这个时候可以使用Synplify查看综合后实际的连接情况, 通过检查实际的逻辑连接的情况就可以很轻松地排查出问题

4. System Verilog的使用

System Verilog相对与Verilog会由更多的优化, 某些情况下可以大幅减少资源的使用. 缺点是: 1. 优化的过程可能会引入BUG. 2. 从学习的角度来说, 我们应该学习如果优化代码达到减少资源占用的效果, 不能过度依赖System Verilog

5. 开源工具apio的使用

Diamond的ModelSim在使用的过程中花费的时间比较多, 可以尝试使用开源工具apio进行软件调试, 速度会快很多, 缺点是无法使用System Verilog以及IP核

6. 软硬件结合

在很多情况下, 想要硬件直接做一些操作会花费功夫核资源, 比如midi文件的解析, 重复模块的调用. 这个时候我们可以借助一些简单的软件语言帮我们生成, 会事半功倍. 比如这次49个琴键module的定义, 我就使用了Python生成了Verilog. 解放生产力!!!

7. 分模块调试

如果每次修改核调试都需要综合整个项目的文件, 必然降低我们调试的速度. 这个时候我们可以使用单元测试的思想, 将不同功能的模块放在不同的子文件夹里面, 单独建立diamond进行综合/仿真. 加快调试速度.

附件下载
DDS5_impl1.jed
团队介绍
John
团队成员
john
评论
0 / 100
查看更多
目录
硬禾服务号
关注最新动态
0512-67862536
info@eetree.cn
江苏省苏州市苏州工业园区新平街388号腾飞创新园A2幢815室
苏州硬禾信息科技有限公司
Copyright © 2023 苏州硬禾信息科技有限公司 All Rights Reserved 苏ICP备19040198号