STEP-MAX10:基于Altera MAX10M08的FPGA学习板,带板载编程器


STEP-MAX10是小脚丫平台基于Altera公司芯片开发的FPGA开发板。核心FPGA芯片采用了Altera公司MAX10系列下的10M08SCM153/10M02SCM153。小脚丫STEP MAX10开发板的尺寸同样也采用了DIP40封装,小巧携带方便。板载资源也是十分丰富,包含4路轻触按键,4路拨码开关,8路用户LED,2路RGB_LED三色灯,此外,板卡集成了下载器,一根MicroUSB数据线即可完成开发板的供电与下载。MAX10芯片的资源更丰富,是想学习Altera FPGA开发的最好入门工具。

小脚丫STEP-MAX10

硬件规范


小脚丫STEP-MAX10硬件结构

原理图


注:LED部分由于请以管脚分配表为准!

引脚定义


小脚丫STEP-MAX10引脚对照表

上电测试


STEP-MXO2 V2开发板通过USB供电,采用了常见Micro USB接口。开发板出厂内置测试程序,上电后:

  1. 数码管:循环显示0~7之间的数字
  2. 流水灯:依次闪烁实现流水效果
  3. 三色灯:两个三色灯逐次显示红,绿,蓝,白颜色
  4. 拨码开关:控制数码管、流水灯及三色灯闪烁的速度,
  5. 四位拨码开关全部拨至下端,闪烁速度最快(0.5s左右)
  6. 四位拨码开关全部拨至上端,闪烁速度最慢(2s左右)
  7. 四位拨码开关处于其他状态时,闪烁速度适中(1s左右)
  8. 按键开关:控制数码管、流水灯及三色灯闪烁的方向及显示的亮度:
    1. K1:控制数码管、流水灯及三色灯闪烁的方向或顺序
    2. K2:控制所有显示的亮度,分六级亮度等级,按K2亮度增强
    3. K3:控制所有显示的亮度,分六级亮度等级,按K3亮度减弱
    4. K4:软件复位

文档资料


step-max10_v2软件手册v1.0.pdf
step-max10_硬件手册v1.2.pdf
step-max10-v2原理图.pdf