#### 任意信号发生器(AWG)系统构成 --- 信号发生器类型比较多,在不同的场合可采用不同的信号发生器, 有函数发生器、射频微波信号发生器、任意波形发生器、数字模式发生器或频率发生器等,它们都是能够产生周期性或非周期型电信号(以模拟信号或数字信号的方式)的电子设备. 被广泛应用于设计、测试、调试以及维修等场合。 随着技术的发展,现在的信号发生器一般都是采用[[DDS]]合成技术的任意波形发生器,主要是采用[[FPGA]]或[[DSP]]器件在数字域来合成波形,再通过[[DAC]]产生模拟信号输出。最通常的波形是正弦波,但锯齿波、阶跃(脉冲)、方波、以及三角波这些波形可以非常方便地通过任意波形发生器来产生。如果振荡频率在音频的20KHz以上,发生器一般会包括一些调制功能,比如调幅(AM)、调频(FM)、调相(PM)以及第二个振荡器用以提供音频调制波形。 {{ :dg4-xin-800.jpg?600 |Rigol的任意信号发生器}} Rigol的任意信号发生器 {{ :awgxmind.png? |}} 本文的缩略架构 ## 电子产品测量系统构成 {{ :dut.png?600 |}} ## 电信号的关键属性和指标 ### 所有电子产品都是生成信号、检测信号的过程 ### 信号特性 - 波形 {{ :waveforms.png?400 |}} - 正弦波 - 是否存在失真、非线性、谐波? - 方波 - 重复方波脉冲,用作时钟或测试运放的快速转换特性 - 锯齿波 - 缓慢上升、快速下降,用于控制模拟示波器或电视扫描 - 三角波 - 上升、下降时间相同 - 阶梯波 - 从一个电平快速变化到另一个电平 - 脉冲信号 - 快速上升时间、持续幅度、快速下降 - 任意波形 - 一般是包括无法用函数表示的其它波形 ### 模拟信号波形特征 {{ :sinewave.png?600 |}} - 信号表达式 - V(t) = Vm sin(2πf t + φ) [V] - 信号幅度特性 - 幅度 - 准确度、调节的分辨率 - 动态范围 - 从最小信号到最大信号的跨度(dB) - 直流偏移 - 在交流信号上叠加的直流分量 - 输出阻抗 - 一般为50 Ohm - 信号频域特性 - 频率 - 频率范围 - 频率准确度 - 频率稳定度 - 可调频率精度 ### 脉冲信号特征 {{ :pulsewave.png?600 |}} - 上升时间: 脉冲边沿从低电平到高电平的时间 - 下降时间:脉冲边沿从高电平到低电平的时间 - 脉冲宽度: 脉冲从低到高,再从高到低的时间,以全电压的50%为测量基准 ## 信号源 - 产生用于测量的激励信号 ### 用途 - 检查每一级电路的增益、频率响应,对接收端进行校准 - 信号源提供用于测试电路的各种波形,一般来讲都是低功率的 - 验证( Verification) - 分析数字调制 - 定标(Characterization) - 测试D/A和A/D转换器 - 压力/临界点 测试 - 通信接收机的压力测试 ### 产生的主要激励信号 - 模拟波形 - 数字数据模式 - 调制信号 - 刻意产生的噪声,用以测试信道的特性 ### 信号源的种类 - 函数发生器 - 输出标准的波形 - 模拟函数发生器 - 成本低、使用简单、频率无上限、精度低 - 数字函数发生器 - 用数字方式产生的标准波形 - 扫频函数发生器 - 输出信号的频率随时间周期变化 - 任意波形/函数发生器 (AWG/AFG) - 产生由用户定义的波形 {{ :moduwave.png?600 |}} - 通用的任意波形发生器 - 通过DDS的方式产生 - 射频信号发生器 - 输出的模拟信号在射频频段 - 矢量信号发生器 - 用于通信系统的测试 - 音频信号发生器 - 用于音频信号的测试 - 逻辑信号源:输出脉冲或模式等数字模式 - 脉冲发生器 - 从小的输出数字驱动产生方波或脉冲串 - 模式发生器(数据发生器) - 可以产生很多通道的数字模式 - 模拟函数发生器 - 成本低、使用简单、频率无上限 - 单芯片模拟函数发生器MAX038 - 数字函数发生器 - DDS+DAC的方式,可调精度高 - 任意波形发生器 AWG - 可以产生任意的波形 - 波形可以编辑 - 任意波形发生器产品举例 - Rigol - 型号 - DG1022 - 输出:5种标准波形,并内建48种任意波形 - 调制:AM、FM、PM、FSK - 提供:线性/对数扫频和Burst - 正弦波:1μHz ~20MHz - 方波: 1μHz ~ 5MHz - 任意波形:1μHz ~ 5MHz - 波形存储长度:1K个点 - 采样:100Msps/14bits - 输出信号:2mVpp 到10Vpp (50Ω) - 直流偏移:5V - 脉冲信号发生器 {{ :pulsetrain.png?600 |}} - 输出电平 - 周期、频率 - 脉宽、占空比 - 延时 - 单脉冲、重复脉冲 - 同步输出 - 信号发生器发展趋势 - 小型化/便携式 - 网络连接 - 以太网、WiFi、蓝牙 - 针对不同的应用 - 无线通信、工业物联网 ### 信号源主要厂商 {{ :awgvendors.png?800 |}} * [是德科技](https://www.keysight.com/en/pc-1000000231%3Aepsg%3Apgr/function-arbitrary-waveform-generators?cc=CN&lc=chi)的信号源产品页面 * [泰克公司](https://www.tek.com/signal-generator)信号源产品页面 * [[https://www.rigol.com/Product/ProductLine/2|普源精电]]的函数/任意波形发生器产品页面 * [[http://www.ni.com/zh-cn/shop/select/waveform-generators-category#facet:&productBeginIndex:0&orderBy:&pageView:grid&pageSize:&|NI]]的波形发生器产品,该公司的产品主要为虚拟产品 * [PicoTech](https://www.picotech.com/library/oscilloscopes/arbitrary-waveform-generator-awg)的信号源产品页面 ### 电路分析举例 {{ :circuit_analysis.png?800 |}} - 网络响应测试 - 不同的电路网络对方波信号的响应(时域) - 典型的频域谱线 - 连续波 - 产生单频、固定频率的正弦波 - 扫频 - 在一定频率范围内进行扫描 - 可能是相位连续的 - 信号发生器 - 添加了调制 - 产生“真实世界”的信号 - 信号频域特性 - 相位 - 单边信号 :差分信号 - 脉冲信号占空比/延时 - 复合信号 - 模拟调制、数字调制、脉宽调制、正交调制 - 数字模式和格式 - 伪随机数据流 ## 直接数字合成的基本原理 ### 什么是直接数字合成(DDS) - [[DDS]] - Director Digital Synthesis - 是一种用于通过单个固定频率的参考时钟信号生成任意波形的频率合成器 - 很多现成的多通道、单芯片DDS,可以工作在3GHz的频率,输出DC-1000MHz的合成信号 - 频率和相位都可编程,不需要改变外围器件,全软件控制 - 应用于: - 信号分析:信号发生器、函数发生器 - 通信系统:通信系统的本地振荡器、混频器、调制器 - 语音合成 - 数字锁相环 DPLL - 生物医疗 ### 系统构成 {{ :ddsblocks.png?800 |}} ### 波形变化 {{ :ddswaveformchanges.png?800 |}} - DAC输出 - LPF输出 - 模拟后端输出 ### 通过DDS产生三种常用的信号 {{ :3waves.png?400 |}} - 正弦波:通过查找波表 - 锯齿波: 相位累加器 - 方波:相位累加器的最高位 ### DDS的性能优点 - 优点: - 更高的频率捷变性能 - 比参考源晶振相位噪声小的相位噪声 - 它是一个前馈系统,所以有很好的近载波相位噪声性能,DDS将参考时钟的相位噪声降低了Fclk/Fo - 参考时钟的抖动直接影响了输出信号的抖动,此抖动相对于输出信号的周期比例很小 - DDS的最高输出频率为Fclk/2,输出信号的相位噪声永远会比参考时钟信号的相位噪声低至少6dB - 在远离载波的频率区间,DDS的相位噪声本地为DAC量化噪声本底和参考时钟相位噪声本底的幂和 - 输出频率切换时可以保证精确的相位控制 - 可以工作在较宽的频率范围 - 精细的频率调整分辨率 - 缺点: - NCO位数截断导致的杂波 - 相位截断导致杂散干扰 - 高阶奈奎斯特镜像导致的交互杂散 - DAC带来的在大的频率频率偏移情况下比较高的噪声底线 {{ :dacerrors.png?500 |}} ### 任意波形发生器的系统构成及常用器件 {{ :awgarch.png?800 |}} - 任意波形发生器两种构成方式 - 方法1 - 利用现成的DDS芯片来实现 {{ :awg_ddsdac.png?800 |}} - 特点: - 设计简单 - 价格便宜 - 性能好 - 波形固定 - 常用器件 - ADI DDS器件系列 - ADI DDS器件设计和仿真页面 - ADI经典的DDS器件AD9850 - CMOS, 125 MHz完整的DDS合成器 - 产品页面:[CMOS、125 MHz完整DDS频率合成器 AD9850](https://www.analog.com/cn/products/ad9851.html) - 最高125 MHz 时钟速率 - 片上高性能DAC和高速比较器 - DAC SFDR > 50 dB @ 40 MHz AOUT - 32-Bit 频率调整控制字 - 简单的控制接口:并行Byte 或串行加载格式 - 支持相位调制 - 3.3 V 或 5V单电源供电 - 低功耗: 380 mW @ 125 MHz (5 V),155 mW @ 100 MHz (3.3 V) - AD9850的杂散信号强度 - AD9851: [180 MHz完整DDS频率合成器](https://www.analog.com/cn/products/ad9851.html) - AD9852: [300MHz CMOS 完整的DDS芯片](https://www.analog.com/cn/products/ad9854.html) - AD9854: [300MHz CMOS 正交完整的DDS芯片](https://www.analog.com/cn/products/ad9854.html) - AD9102: [低功耗14位、180Msps DAC和波形发生器](https://www.analog.com/cn/products/ad9102.html) - 方法2 - 利用FPGA + 高速DAC来实现 {{ :awgfpgadac.png?800 |}} - 特点: - 功能灵活 - 自定义波形 - 体验基本原理 - FPGA- 实现所有的数字逻辑及存储功能 - 实现相位累加器/查找表/波表ROM功能 - 产生DAC需要的取样时钟并满足并行数据传输到DAC所需要的时序 - 可以利用FPGA内部的Block RAM配置成存放波形的ROM表 - FPGA- 资源需求(举例) - 波形表存储容量:1个周期:1024点 * 10bits ~ 10.24kbits (以10bits DAC为例) - 4种波形 ~ 40.96kbits - 逻辑资源 ~ 2KLEs - 时钟频率100MHz,由内部PLL产生 - 高速DAC - 垂直(幅度)分辨率(转换精度):DAC的垂直分辨率决定了幅度准确度以及重生波形的失真 - 水平(时序)分辨率 - 产生的波形的最小的时间增量 - 量化误差/量化失真 - 由于DAC分辨率有限导致的两个取样间隔之间的输出信号和实际信号之间的误差 - DAC芯片举例 - AD974X - 210 MSPS TxDAC® D/A Converter: AD9748: 8bit,AD9740:10bits,AD9742: 12bits,AD9744:14bits - 管脚都兼容的TxDAC 产品系列 - SFDR 到 Nyquist 在20 MHz 输出, 210 MSPS: 73 dBc - 2的补码或直接二进制数据格式 - 功耗很低: 135mW @3.3 V (关电模式下仅15 mW) - 具有片上1.20 V参考基准源 - 模拟信号域的处理 - 滤波器 - 重建低通滤波器 {{ :ddsspreadtrum.png?800 |}} - 要求: - 滤除掉DAC的零阶保持频谱 - 低通抗混叠滤波器 - 截止频率最高设定为Fclock的40% - DDS输出频率的建立时间主要取决于重构滤波器的相位响应。理想的重构滤波器相位响应应该为线性,也即输出信号是输入信号的延时版本,这样就会在输出端有即时的频率响应,因为线性系统不会创造输入信号中没有的频率。 - 滤除带外的混叠和杂波干扰,带内幅频波动最小,相移为线性 - 低通滤波器关键指标 - 理想的滤波器 {{ :awgfilter.png?800 |}} - 带内衰减为零 - 带外抑制度最高 - 相移为线性 - 实际的滤波器 {{ :filter_para.png?600 |}} - 截止频率:DAC转换频率的40% - 带外抑制度:尽可能高 - 带内平坦度:带内波动尽可能小 - 相位移动:线性 - 不同低通滤波器的特性对比 {{ :filterresponse.png?663 |}} - 要综合考虑时域和频域的响应、群延时 - 7阶椭圆低通滤波器 {{ :7thfilter.png |}} - DDS中为获得最大频率输出,一般设置截止频率:DAC转换频率的40%,采用椭圆滤波器能够达到最佳的带外抑制 - 在信号发生器中,为获得相对好的波形,至少一个周期有5个以上的采样点,设置最大输出频率为DAC转换频率的20%,采用巴特沃斯滤波器能够获得较好的带内平坦度 - 即便采用带内最为平坦的LPF,输出信号的幅度仍然受sinc函数的影响以及后续放大器的带宽限制,需要在数字域添加x/sinc(x)的补偿以及在LPF中添加在截止频率附近的高频补偿 - 滤波器设计及仿真工具 - Keysight ADS - TI Webench - Mathworks MATLAB - NI MultiSim - 模拟信号功率的处理 - 达到输出需要的电平要求 {{ :poweradjustment.png?800 |}} - 增益调整 - 内部相乘器 - DAC参考电流 - 宽带放大器 - 增益的调整以及响应速度的影响 - 直流偏移调整 - 参数控制及显示 {{ :awgui.png?800 |}} - 输入 - 旋转光电编码器 - 按键 - 输出显示 - 图形化显示屏 - 波形显示 - 参数信息 - 交互界面 ## 参考资料 ### 文章、资料、应用指南 * {{:digital_pulse-shaping_filter_basics.pdf|ADI: 数字脉冲成型滤波器基础(pdf)}} * {{:digital_quadrature_modulator_gain.pdf|ADI: 数字正交调制增益(pdf)}} * {{:fundamentals_of_direct_digital_synthesis_dds_.pdf||直接数字合成(DDS)的基础 (pdf)}} * {{:fundamentals_of_phase_locked_loops_plls_.pdf|锁相环(PLLs)的基础(pdf)}} * {{:high_speed_dacs_and_dds_systems.pdf|高速DACs和DDS系统 (pdf)}} * [Oversampling Interpolating DACs (pdf)](https://www.analog.com/media/cn/training-seminars/tutorials/MT-017.pdf) * [Basic DAC Architectures I: String DACs and Thermometer (Fully Decoded) DACs (pdf)](https://www.analog.com/media/en/training-seminars/tutorials/MT-014.pdf) * [Basic DAC Architectures II: Binary DACs (pdf)](https://www.analog.com/media/en/training-seminars/tutorials/MT-015.pdf) * [Basic DAC Architectures III: Segmented DACs (pdf)](https://www.analog.com/media/en/training-seminars/tutorials/MT-016.pdf) * [DAC Interface Fundamentals (pdf)](https://www.analog.com/media/en/training-seminars/tutorials/MT-019.pdf) * [Set-Up and Hold Measurements in High Speed CMOS Input DACs (pdf)](https://www.analog.com/media/en/technical-documentation/application-notes/6454136199305290739AN748_0.pdf?doc=an-928.pdf) ### 系统构成及几个重要概念 {{ :ddsawg.jpg |}} ** 用FPGA通过DDS的方式产生任意信号,包括调制信号** * [[DDS|直接数字合成-DDS]] {{ :direct_digital_synthesizer_block_diagram.png |}} * [任意波形发生器-AWG](https://en.wikipedia.org/wiki/Arbitrary_waveform_generator) * [锁相环-PLL](https://en.wikipedia.org/wiki/Phase-locked_loop) {{ :1500px-pll_generic_inline_optional_n.svg.png?800 |}} ### 方案示例 #### 小脚丫FPGA构成的任意信号发生器 - 100Msps/20MHz * 生成的信号波形:正弦波、三角波、锯齿波、方波 * 输出信号幅度:±1V * 输出信号频率范围:DC - 20MHz * FPGA - 小脚丫FPGA模块 * DAC - 100Msps、10bits的AD9740 * 转换率: 100Msps {{ :dds100.jpg?800 |}} 100Msps高速DAC产生的最高20MHz的任意波形信号发生器 - 384Ksps/20KHz, 详细介绍参见[[stepfpgadds20k|用小脚丫FPGA和串行DAC实现的低频任意波形发生器]] * 生成的信号波形:正弦波、三角波、锯齿波、方波 * 输出信号幅度:±1V * 输出信号频率范围:DC - 20KHz * FPGA - 小脚丫FPGA模块 * DAC - [DAC081S101](http://www.ti.com/lit/ds/symlink/dac081s101.pdf), [[SPI]]接口的8bits串行[[DAC]] * 转换率: 384Ksps(通过12MHz的系统时钟产生) {{ :ddsls.jpg?800 |}} 小脚丫FPGA和串行DAC通过DDS产生的低频任意信号发生器 - 另外参见我们的一个学员基于小脚丫FPGA平台制作信号发生器的项目[[stepawg|基于小脚丫FPGA制作任意信号发生器项目训练]] #### Analog Devices, Inc.公司的方案 ADI为任意波形发生器提供最前沿的信号处理集成电路解决方案。 {{ :adi_awg1.jpg |}} Analog Devices, Inc.任意波形发生器解决方案框图 {{ :adi_wideband_synthesizer.jpg |}} Analog Devices, Inc.公司宽带合成器解决方案框图 {{ :adi_modulated_signal_generator.jpg |}} Analog Devices, Inc.调制信号发生器解决方案框图 {{ :adi_value_rf_signal_generator.jpg |}} Analog Devices, Inc.射频信号发生器解决方案框图 #### Texas Instrument Inc公司的方案 {{ :ti_awg_block_diagram.gif |}} Texas Instruments, Inc.任意波形发生器解决方案框图 ##### 设计注意事项 信号/波形发生器可以以重复或触发的方式产生正弦、方形、三角和噪声信号,以帮助对电子器件进行设计、测试和故障排除。上面显示的系统方框图包括板上信号合成和控制,它们分别通过 DSP 和微处理器来完成。通用接口总线 (GPIB) 和 RS-232 端口是信号发生器的典型通信选项,但也可以通过局域网 (LAN)、通用串行总线 (USB) 和外设组件互连 (PCI) 获得更高速度的接口选项。接口信号管理和其它简单控制功能通常由微处理器或简单的嵌入式处理器来驱动,而密集信号合成和波形生成则由数字信号处理器 (DSP)(或者现场可编程门阵列 (FPGA) 或自定义专用集成电路 (ASIC))来驱动。 * 信号链:当 DSP 合成高速数字信号时,数模转换器 (DAC) 必须与数字信号的带宽相匹配,同时降低信号转换期间的噪声。虽然精密 DAC 可以产生高信噪比 (SNR)、有效位数 (ENOB) 和快速建立时间,但是高速 DAC 却能提供更快的更新速率、更宽的带宽和更高的输出电流。高性能、低抖动(或低相位噪声)时钟将馈送至 DAC 以实现精确采样(例如,TI的CDCM7005)。输出放大器必须支持由 DAC 提供的信号的带宽、显示低失真并提供快速建立时间以维持信号完整性,如 TI 的 OPA8xx 和 THS3xx 系列放大器。 * 电源:系统电源选项包括从具有宽输入范围的开关电源到具有高电源抑制比 (PSRR) 的低压降稳压器、快速瞬态响应、低噪声和快速启动时间。可通过本地规划布局技巧进一步抑制噪声。对于处理器电源,快速瞬态响应、可编程软启动和电源启动是需要重点考虑的电源选项,TI 的 TPS74xxx 系列中提供了这些选项。 德州仪器 (TI) 推出的支持工具使设计者能够快速评估系统信号链的性能。该开发套件(TSW3070 评估模块)简化了高速 DAC 与放大器(宽带与具有增强 AC 性能的高摆幅)之间的连接,并且包含时钟和电源管理功能以进一步简化设计。此外,该工具还使客户能够通过简单易用的图形用户界面 (GUI) 灵活地实施其它设计配置。 #### 网上整理的任意函数发生器方案示例:采用ADI的AD9850构成的任意函数发生器 {{ :dds_1.5_schematic.gif |AD9850构成的任意函数发生器}} {{ :dds6-_schematic.gif |采用AD9851构成的任意函数发生器子卡DDS-60}} Analog Devices, Inc.AD9851构成的任意函数发生器 此模块产生高质量的射频信号,信号频率范围从1MHz到60MHz,它采用了一颗Analog Devices, Inc.公司的DDS芯片AD9851, 一个时钟振荡器,一个5阶椭圆滤波器以及一个可调电平的射频放大器。另外板上提供一个5V稳压器,你可以采用电池或8-12V的外接直流电源。 指标: * 供电:8-12V直流,130毫安 * RF输出:完全可以调节到+16 dBm, 在50欧姆负载上输出幅度为4V p-p * 输出信号不受供电电压变化的影响 * 在1-60MHz范围内接近常数的输出电平(1.8 dB droop due to sinx/x sampling theorem) * 非常高的信号纯度,相对于基频,谐波下降了大约40dB