#### FPGA开源项目大全 --- * STEPFPGA网站上的初级项目 * [[1._点亮led|点亮LED]] * [[2._rgb_led|RGB三色LED]] * [[3._3-8译码器|3-8译码器]] * [[4._数码管显示|数码管显示]] * [[5._时钟分频|时钟分频]] * [[6._led流水灯|LED流水灯]] * [[7._按键消抖|按键消抖]] * [[8._计时控制|计时控制]] * [[9._呼吸灯|呼吸灯]] * [[10._交通灯|简易交通灯]] * STEPFPGA网站上的中级项目 * [[矩阵键盘键入系统设计|矩阵键盘键入系统设计]] * [[简易电子琴设计|基于触摸按键的简易电子琴设计]] * [[旋转调节系统设计|基于旋转编码器的调节系统设计]] * [[比赛计分系统设计|比赛计分系统设计]] * [[串口监视系统设计|串口监视系统设计]] * [[简易电压表设计|简易电压表设计]] * [[波形信号发生器设计|基于DDS的任意波形、信号发生器设计]] * [[智能接近系统设计|基于接近式传感器的智能接近系统设计]] * [[数字温湿度计设计|数字温湿度测量系统设计]] * [[数字万年历设计|数字万年历设计]] * [[图片显示系统设计|图片显示系统设计]] * [[屏幕保护系统设计|屏幕保护系统设计]] * [[wifi_esp8266通信系统设计|WIFI_ESP8266通信系统设计]] * STEPFPGA网站上的高级项目 * [[stepfpga_scope|简易示波器]] * 来自fpga4fun.com上的项目 * [[https://www.fpga4fun.com/MusicBox.html|音乐盒]] * [[https://www.fpga4fun.com/Opto.html|LED显示]] * [[https://www.fpga4fun.com/PongGame.html|Pong Game]] * [[https://www.fpga4fun.com/RCServos.html|遥控伺服控制]] * [[https://www.fpga4fun.com/TextLCDmodule.html|文本LCD模块显示]] * [[https://www.fpga4fun.com/QuadratureDecoder.html|正交解码]] * [[https://www.fpga4fun.com/PWM_DAC.html|PWM和1位DAC]] * [[https://www.fpga4fun.com/Debouncer.html|按键消抖]] * [[https://www.fpga4fun.com/CrossClockDomain.html|交叉时域]] * [[https://www.fpga4fun.com/Counters.html|计数的艺术]] * [[https://www.fpga4fun.com/SerialInterface.html|RS-232接口]] * [[https://www.fpga4fun.com/JTAG.html|JTAG接口]] * [[https://www.fpga4fun.com/I2C.html|I2C接口]] * [[https://www.fpga4fun.com/EPP.html|EPP接口]] * [[https://www.fpga4fun.com/SPI.html|SPI接口]] * [[https://www.fpga4fun.com/SD.html|SD卡]] * [[https://www.fpga4fun.com/PCI.html|PCI]] * [[https://www.fpga4fun.com/PCI-Express.html|PCI Express]] * [[https://www.fpga4fun.com/10BASE-T.html|以太网接口]] * [[https://www.fpga4fun.com/HDMI.html|HDMI接口]] * [[https://www.fpga4fun.com/SDRAM.html|SDRAM接口]] * [[https://www.fpga4fun.com/digitalscope.html|数字示波器]] * [[https://www.fpga4fun.com/GraphicLCDpanel.html|图形化LCD显示屏]] * [[https://www.fpga4fun.com/DDS.html|DDS直接数字合成]] * [[https://www.fpga4fun.com/CNC.html|CNC步进电机]] * [[https://www.fpga4fun.com/spoc.html|Spoc CPU内核]] * [[https://www.fpga4fun.com/Hands-on_Flashy.html|一个简单的示波器]] * 来自fpga4students上的项目 * [[fpga4students上FPGA相关的项目]] * [[fpga4students上用Verilog写的项目]] * [[fpga4students上用VHDL写的项目]] * [[https://www.fpga4student.com/2017/04/simple-debouncing-verilog-code-for.html|Key debounce]] * [[https://www.fpga4student.com/2016/11/verilog-code-for-alarm-clock-on-fpga.html|Alarm clock]] * [[https://www.fpga4student.com/2016/11/verilog-code-for-parking-system-using.html|Car parking system]] * 周祖成老师的教材中的项目 * [[LED_zhou|LED灯]] * [[pulse_zhou|脉冲发生器]] * [[pwm_zhou|脉宽调制]] * [[wg_zhou|波形发生器]] * [[keyscan_display_zhou|键盘扫描及数码管显示]] * [[caculator_zhou|计算器]] * [[digitalclock|数字钟]] * [[serialint_zhou|串行接口]] * [[I2C_zhou|I2C实验]] * [[bch_encoder|zhou|BCH编码实验]] * [[audioint_zhou|音频接口实验]] * [[vgaint_zhou|VGA接口实验]] * [[videoint_zhou|视频接口实验]] * [[lcdtouch_zhou|液晶屏是触摸屏实验]] * [[nios_zhou|Nios系统入门实验]] * [[uclinux_zhou|uCLinux实验]]