===== fpga4students上用verilog写的项目 ===== 1. [[https://www.fpga4student.com/2016/12/what-is-fpga-five-reasons-why-i-love-fpga.html|什么是FPGA?Verilog如何在FPGA上工作]] 2. [[https://www.fpga4student.com/2017/01/verilog-code-for-fifo-memory.html|FIFO存储器的Verilog代码]] 3. [[https://www.fpga4student.com/2017/01/verilog-code-for-single-cycle-MIPS-processor.html|用于16位单周期MIPS处理器的Verilog代码]] 4. [[https://www.fpga4student.com/2017/01/programmable-digital-delay-timer-in-Verilog.html|Verilog HDL中的可编程数字延迟定时器]] 5. [[https://www.fpga4student.com/2017/01/basic-digital-blocks-in-verilog.html|用于数字电路中基本逻辑组件的Verilog代码]] 6. [[https://www.fpga4student.com/2016/12/32-bit-unsigned-divider-in-verilog.html|32位无符号分频器的Verilog代码]] 7. [[https://www.fpga4student.com/2016/12/fixed-point-matrix-multiplication-in-Verilog.html|定点矩阵乘法的Verilog代码]] 8. [[https://www.fpga4student.com/2016/11/plate-license-recognition-verilogmatlab.html|Verilog HDL中的板许可证识别]] 9. [[https://www.fpga4student.com/2016/11/verilog-code-for-carry-look-ahead-multiplier.html|Carry-Look-Ahead Multiplier的Verilog代码]] 10. [[https://www.fpga4student.com/2016/11/verilog-code-for-microcontroller.html|微控制器的Verilog代码]] 11. [[https://www.fpga4student.com/2016/11/verilog-code-for-4x4-multiplier-using.html|4x4乘法器的Verilog代码]] 12. [[https://www.fpga4student.com/2016/11/verilog-code-for-parking-system-using.html|停车场系统的Verilog代码]] 13. [[https://www.fpga4student.com/2016/11/image-processing-on-fpga-verilog.html|使用Verilog HDL在FPGA上进行图像处理]] 14. [[https://www.fpga4student.com/2016/11/two-ways-to-load-text-file-to-fpga-or.html|如何使用Verilog HDL将文本文件加载到FPGA中]] 15. [[https://www.fpga4student.com/2016/11/verilog-code-for-traffic-light-system.html|交通灯控制器的Verilog代码]] 16. [[https://www.fpga4student.com/2016/11/verilog-code-for-alarm-clock-on-fpga.html|FPGA上的闹钟的Verilog代码]] 17. [[https://www.fpga4student.com/2017/02/verilog-code-for-comparator.html|比较器设计的Verilog代码]] 18. [[https://www.fpga4student.com/2017/02/verilog-code-for-d-flip-flop.html|D Flip Flop的Verilog代码]] 19. [[https://www.fpga4student.com/2017/02/verilog-code-for-full-adder.html|Full Adder的Verilog代码]] 20. [[https://www.fpga4student.com/2017/03/verilog-code-for-counter-with-testbench.html|带有测试平台的计数器的Verilog代码]] 21. [[https://www.fpga4student.com/2017/04/verilog-code-for-16-bit-risc-processor.html|用于16位RISC处理器的Verilog代码]] 22. [[https://www.fpga4student.com/2017/04/simple-debouncing-verilog-code-for.html|用于FPGA上按钮去抖动的Verilog代码]] 23. [[https://www.fpga4student.com/2017/05/how-to-write-verilog-testbench-for.html|如何为双向/自动端口编写Verilog Testbench]] 24. [[https://www.fpga4student.com/2017/06/tic-tac-toe-game-in-verilog-and-logisim.html|Verilog和LogiSim的Tic Tac Toe游戏]] 25. [[https://www.fpga4student.com/2017/06/32-bit-pipelined-mips-processor-in-verilog-1.html|Verilog中的32位5级流水线MIPS处理器(第1部分)]] 26. [[https://www.fpga4student.com/2017/06/32-bit-pipelined-mips-processor-in-verilog-2.html|Verilog中的32位5级流水线MIPS处理器(第2部分)]] 27. [[https://www.fpga4student.com/2017/06/32-bit-pipelined-mips-processor-in-verilog-3.html|Verilog中的32位5级流水线MIPS处理器(第3部分)]] 28. [[https://www.fpga4student.com/2017/07/verilog-code-for-decoder.html|用于5到32解码器的Verilog代码]] 29. [[https://www.fpga4student.com/2017/07/verilog-code-for-multiplexers.html|多路复用器的Verilog代码]] 30. [[https://www.fpga4student.com/2017/07/n-bit-adder-design-in-verilog.html|Verilog中的N位加法器设计]] 31. [[https://www.fpga4student.com/2017/08/verilog-vs-vhdl-explain-by-example.html|Verilog vs VHDL:通过实例解释]] 32. [[https://www.fpga4student.com/2017/08/verilog-code-for-clock-divider-on-fpga.html|用于FPGA上时钟分频器的Verilog代码]] 33. [[https://www.fpga4student.com/2017/08/how-to-generate-clock-enable-signal.html|如何生成时钟使能信号而不是创建另一个时钟域]] 34. [[https://www.fpga4student.com/2017/08/verilog-code-for-pwm-generator.html|PWM发生器的Verilog代码]] 35. [[https://www.fpga4student.com/2017/08/what-is-fpga-programming.html|Verilog编码与软件编程]] 36. [[https://www.fpga4student.com/2017/09/verilog-code-for-moore-fsm-sequence-detector.html|使用Moore FSM的序列检测器的Verilog代码]] 37. [[https://www.fpga4student.com/2017/09/seven-segment-led-display-controller-basys3-fpga.html|[Verilog教程] Basys 3 FPGA上的七段LED显示控制器]] 38. [[https://www.fpga4student.com/2017/12/how-to-interface-mouse-with-FPGA.html|[FPGA教程]如何在Verilog中将鼠标与Basys 3 FPGA连接]] 39. [[https://www.fpga4student.com/2018/07/verilog-code-for-ripple-carry-adder.html|Ripple Carry Adder的Verilog代码]]