一、任务
设计并制作一个由两个额定输出功率均为 16W 的 8V DC/DC 模块构成的并联供电系统(见图 1)。
二、 要求
1.基本要求
(1)调整负载电阻至额定输出功率工作状态,供电系统的直流输出电压UO=8.0±0.4V。
(2)额定输出功率工作状态下,供电系统的效率不低于 60% 。
(3)调整负载电阻,保持输出电压 UO=8.0±0.4V,使两个模块输出电流之和 IO =1.0A 且按 I1:I2=1:1 模式自动分配电流,每个模块的输出电流的相对误差绝对值不大于 5%。
(4)调整负载电阻,保持输出电压 UO=8.0±0.4V,使两个模块输出电流之和 IO =1.5A 且按 I1:I2= 1:2 模式自动分配电流,每个模块输出电流的相对误差绝对值不大于 5%。
2. 发挥部分
(1)调整负载电阻,保持输出电压 UO=8.0±0.4V,使负载电流 IO在 1.5~3.5A之间变化时,两个模块的输出电流可在(0.5~2.0)范围内按指定的比例自动分配,每个模块的输出电流相对误差的绝对值不大于 2%。
(2)调整负载电阻,保持输出电压 UO=8.0±0.4V,使两个模块输出电流之和 IO =4.0A 且按 I1:I2=1:1 模式自动分配电流,每个模块的输出电流的相对误差的绝对值不大于 2%。
(3)额定输出功率工作状态下,进一步提高供电系统效率。
(4)具有负载短路保护及自动恢复功能,保护阈值电流为 4.5A(调试时允许有±0.2A 的偏差)。
(5)其他。
三、说明
GPT-4o给出的设计方案:
设计一个由两个DC/DC转换模块并联构成的供电系统,输入电压为24V,输出电压为8V。其中每个DC/DC模块的输出电流能够自动分配,以确保总输出电流稳定。在设计中,确保输出电压和电流的稳定以及模块间负载的均匀分配。
电源部分:
控制部分:
DC/DC转换模块:
均流电路:
DC/DC转换模块(如LM5155):
运算放大器(如OP07):
精密电阻:
若需要使用FPGA进行进一步的控制和调整,以下为一个简单的Verilog结构代码示例,用于电流检测和反馈控制。
module current_control(
input wire clk,
input wire rst,
input wire [9:0] current1, // 输入电流1
input wire [9:0] current2, // 输入电流2
output reg [9:0] adjust1, // 调整电流1
output reg [9:0] adjust2 // 调整电流2
);
reg [9:0] error;
reg [9:0] current_avg;
always @(posedge clk or posedge rst) begin
if (rst) begin
adjust1 <= 10'd0;
adjust2 <= 10'd0;
end else begin
current_avg <= (current1 + current2) / 2;
error <= current1 - current_avg;
adjust1 <= current1 - error;
adjust2 <= current2 + error;
end
end
endmodule
通过上述设计,确保了两个DC/DC模块并联供电的系统能够稳定输出8V,并且能够在负载变化时均衡分配电流,满足系统的设计要求。
本题考察的主要知识点: