任务名称
2011年_A题:开关电源模块并联供电系统
任务要求
难度

一、任务
设计并制作一个由两个额定输出功率均为 16W 的 8V DC/DC 模块构成的并联供电系统(见图 1)。

二、 要求

1.基本要求
(1)调整负载电阻至额定输出功率工作状态,供电系统的直流输出电压UO=8.0±0.4V。
(2)额定输出功率工作状态下,供电系统的效率不低于 60% 。
(3)调整负载电阻,保持输出电压 UO=8.0±0.4V,使两个模块输出电流之和 IO =1.0A 且按 I1:I2=1:1 模式自动分配电流,每个模块的输出电流的相对误差绝对值不大于 5%。
(4)调整负载电阻,保持输出电压 UO=8.0±0.4V,使两个模块输出电流之和 IO =1.5A 且按 I1:I2= 1:2 模式自动分配电流,每个模块输出电流的相对误差绝对值不大于 5%。
2. 发挥部分
(1)调整负载电阻,保持输出电压 UO=8.0±0.4V,使负载电流 IO在 1.5~3.5A之间变化时,两个模块的输出电流可在(0.5~2.0)范围内按指定的比例自动分配,每个模块的输出电流相对误差的绝对值不大于 2%。
(2)调整负载电阻,保持输出电压 UO=8.0±0.4V,使两个模块输出电流之和 IO =4.0A 且按 I1:I2=1:1 模式自动分配电流,每个模块的输出电流的相对误差的绝对值不大于 2%。
(3)额定输出功率工作状态下,进一步提高供电系统效率。
(4)具有负载短路保护及自动恢复功能,保护阈值电流为 4.5A(调试时允许有±0.2A 的偏差)。
(5)其他。

三、说明

  1. 不允许使用线性电源及成品的 DC/DC 模块。
  2. 供电系统含测控电路并由 UIN供电,其能耗纳入系统效率计算。
  3. 除负载电阻为手动调整以及发挥部分(1)由手动设定电流比例外,其他功能的测试过程均不允许手动干预。
  4. 供电系统应留出 UIN、UO、IIN 、IO、I1、I2 参数的测试端子,供测试时使用。
  5. 每项测量须在 5 秒钟内给出稳定读数。
  6. 设计制作时,应充分考虑系统散热问题,保证测试过程中系统能连续安全工作。
评审标准

参考答案

GPT-4o给出的设计方案:


一、总体设计

设计一个由两个DC/DC转换模块并联构成的供电系统,输入电压为24V,输出电压为8V。其中每个DC/DC模块的输出电流能够自动分配,以确保总输出电流稳定。在设计中,确保输出电压和电流的稳定以及模块间负载的均匀分配。

二、简单论证

  1. 电源部分:

    • 使用DC/DC转换模块对24V输入电压进行降压,确保输出电压为8V。
    • 在负载电流变化时,通过反馈机制调整输出电流,确保多个模块均匀分配负载电流。
  2. 控制部分:

    • 每个DC/DC模块内部含有电流检测和反馈电路,确保输出电流自动调整。
    • 使用均流电路,确保每个DC/DC模块按比例分配输出电流。

三、重要指标的理论分析

  1. 电压输出范围:输出电压必须保持在8±0.4V范围内。
  2. 电流分配精度:每个模块的输出电流相对误差不超过5%。
  3. 效率:系统效率需达到60%以上。
  4. 负载调节率:在负载调整时,输出电压保持在8±0.4V。
  5. 动态响应:系统应能快速响应负载电流的大范围变化,保持输出稳定。

四、最重要的电路原理图设计要点

  1. DC/DC转换模块

    • 输入电压:24V
    • 输出电压:8V
    • 输出功率:8W(每个模块)
    • 含有电流检测和反馈控制电路
  2. 均流电路

    • 使用运算放大器(如OP07)和精密电阻进行电流检测和调整。
    • 两个DC/DC模块的输出电流反馈至均流电路,通过对比调整输出电流,确保负载均匀分配。

五、核心器件设计要点

  1. DC/DC转换模块(如LM5155)

    • 高转换效率(90%以上),输出电流稳定。
    • 内置电流检测和反馈电路,确保输出电压和电流的稳定。
  2. 运算放大器(如OP07)

    • 高精度运算放大,用于电流检测和均流控制。
  3. 精密电阻

    • 用于电流检测,并将检测信号反馈给运算放大器进行调节。

Verilog代码示例(涉及FPGA)

若需要使用FPGA进行进一步的控制和调整,以下为一个简单的Verilog结构代码示例,用于电流检测和反馈控制。

module current_control(
    input wire clk,
    input wire rst,
    input wire [9:0] current1, // 输入电流1
    input wire [9:0] current2, // 输入电流2
    output reg [9:0] adjust1,  // 调整电流1
    output reg [9:0] adjust2   // 调整电流2
);

reg [9:0] error;
reg [9:0] current_avg;

always @(posedge clk or posedge rst) begin
    if (rst) begin
        adjust1 <= 10'd0;
        adjust2 <= 10'd0;
    end else begin
        current_avg <= (current1 + current2) / 2;
        error <= current1 - current_avg;
        adjust1 <= current1 - error;
        adjust2 <= current2 + error;
    end
end

endmodule

通过上述设计,确保了两个DC/DC模块并联供电的系统能够稳定输出8V,并且能够在负载变化时均衡分配电流,满足系统的设计要求。


本题考察的主要知识点:

  1. DC/DC转换技术:理解并设计直流-直流转换电路,包括选择合适的拓扑结构(降压、升压或降压-升压拓扑)以及确保高效率。
  2. 并联电源系统:设计并理解多个DC/DC转换器并联工作的原理,确保多个转换器能够均匀分配负载电流。
  3. 电流检测与控制:使用电流检测电阻与运算放大器等器件,实现对电流的实时检测和调整。
  4. 电压调节与负载调节:确保输出电压稳定,并在不同负载条件下进行调节,保持输出电压在规定范围内。
  5. 功率管理与调度:理解整体系统的功率管理,包括输入功率与输出功率的协调与分配,以确保系统效率。
  6. 反馈控制机制:设计和理解反馈控制电路,以确保输出电流和电压的稳定。
硬禾服务号
关注最新动态
0512-67862536
info@eetree.cn
江苏省苏州市苏州工业园区新平街388号腾飞创新园A2幢815室
苏州硬禾信息科技有限公司
Copyright © 2024 苏州硬禾信息科技有限公司 All Rights Reserved 苏ICP备19040198号