基于Lattice XO2-4000HC的小脚丫FPGA核心模块 - 支持Web IDE、U盘模式下载
基于Lattice XO2-4000HC FPGA,使用U盘模式配置FPGA,无需下载FPGA编译系统,可以直接在网页里进行编程,4320个LUTS、96Kbits SRAM、内部PLL,板载LED、数码管、按键和拨码开关。
标签
FPGA
小脚丫
数字逻辑
硬禾发布
更新2023-04-25
4667

STEP小脚丫FPGA学习平台是苏州思得普信息科技公司专门针对FPGA初学者打造的一款性价比最高、学习门槛最低的学习模块系列。系列中所有板子的大小兼容标准的DIP40封装,尺寸只有52mm x 18mm,非常便于携带;并通过MicroUSB端口进行供电和下载,板上选用的芯片兼具了FPGA和CPLD的优点,瞬时上电启动,无需外部重新配置FPGA,是学习数字逻辑绝佳的选择。而且能够直接插在面包板上或以模块的方式放置在其它电路板上以即插即用的方式,大大简化系统的设计。

STEP-MXO2-C专用板是专为FPGA大赛推出的,支持网页版FPGA开发系统Web IDE的一款功能和常规小脚丫FPGA核心板完全一致的核心模块,配备了STEP团队最新研发的MCU编程器。

STEP-MXO2-C专用版功能和配置与STEP-MXO2小脚丫:基于Lattice XO2-4000HC的FPGA学习模块,板载编程器几乎完全同时,唯一的差别在于FPGA程序下载方式不同。STEP-MXO2-C没有采用USB-JTAG的模式下载,而是通过MCU虚拟U盘,拷贝FPGA配置文件到U盘的方式下载程序。

Fj26nw63OwR4FM8XTchQCFgsAza7Fkf98cKJDafEABxpT3_V0nC7i3p5

小脚丫STEP-MXO2-C

硬件规范

FgBykzA6o-AXyfMt7LLf5qAzOuFF

小脚丫STEP-MXO2第二代硬件结构

  • 核心器件:Lattice LCMXO2-4000HC-4MG132
    • 132脚BGA封装,引脚间距0.5mm,芯片尺寸8mm x 8mm;
    • 上电瞬时启动,启动时间<1ms;
    • 4320个LUT资源, 96Kbit 用户闪存,92Kbit RAM;
    • 2+2路PLL+DLL;
    • 嵌入式功能块(硬核):一路SPI、一路定时器、2路I2C
    • 支持DDR/DDR2/LPDDR存储器;
    • 104个可热插拔I/O;
    • 内核电压2.5-3.3V;
  • 板载资源:
    • 两位7段数码管;
    • 两个RGB三色LED;
    • 8路用户LED;
    • 4路拨码开关;
    • 4路按键;
  • 36个用户可扩展I/O(其中包括一路SPI硬核接口和一路I2C硬核接口)
  • 支持的开发工具Lattice Diamond
  • 支持MICO32/8软核处理器
  • 板上集成FPGA编程器
  • 一路Micro USB接口
  • 板卡尺寸52mm x 18mm

原理图

引脚定义

STEP-MXO2-C外设管脚分配

产品包装:STEP-MXO2-C第二代采用了精致的铁盒包装。

FjvTOLUWKQUBTpBHpPC6nPscnLJq

STEP-MXO2-C第二代包装

其中包含:

  1. 小脚丫STEP MXO2-C板卡
  2. 包装盒
  3. 产品说明书

 

上电测试

STEP-MXO2-C 开发板通过USB供电,采用了常见Micro USB接口。开发板出厂内置测试程序,上电后:

  • 数码管:循环显示0~7之间的数字
  • 流水灯:依次闪烁实现流水效果
  • 三色灯:两个三色灯逐次显示红,绿,蓝,白颜色
  • 拨码开关:控制数码管、流水灯及三色灯闪烁的速度,
    • 四位拨码开关全部拨至下端,闪烁速度最快(0.5s左右)
    • 四位拨码开关全部拨至上端,闪烁速度最慢(2s左右)
    • 四位拨码开关处于其他状态时,闪烁速度适中(1s左右)
  • 按键开关:控制数码管、流水灯及三色灯闪烁的方向及显示的亮度,
    • K1:控制数码管、流水灯及三色灯闪烁的方向或顺序
    • K2:控制所有显示的亮度,分六级亮度等级,按K2亮度增强
    • K3:控制所有显示的亮度,分六级亮度等级,按K3亮度减弱
    • K4:软件复位

 

使用说明

STEP-MXO2-C的编程芯片已经集成到小脚丫开发板上,因此只需要一根Micro USB线和电脑相连,就可以完成供电和编程的功能,无需安装驱动。
开发过程需要使用Lattice Diamond软件,该软件的安装和操作简单易上手,操作过程与其他厂商的FPGA设计工具大同小异。
STEP-MXO2-C板在进行程序下载时与STEP MXO2-V2版本不同,将该板卡与PC链接后,将被识别成“大容量存储设备”,你只需要将程序生成的.JED文件复制进入板卡之中,即可完成下载。

点击查看STEP-MXO2-C快速上手指南

编程FPGA的方式有两种:

第一种方法: 采用Lattice的Diamond软件(只能下载安装在Windows电脑上使用)

操作步骤如下:

1.安装Lattice Diamond软件

2.新建工程,输入设计文件,综合、管脚约束、布局布线并输出JED文件;

设计输入 综合
管脚分配 编译生成JED文件


3.将板卡连接至PC,在电脑资源管理器中会出现一个名为STEP FPGA的U盘;

4.在工程目录下找到生成的JED文件

5.将JED文件复制进入名为STEP FPGA的大容量存储设备中;

6.下载完成。

点击查看STEP-MXO2-C快速上手详细操作步骤

 

第二种方法:采用思得普开发的网页版编译工具:

  1. 访问网站www.stepfpga.com
  2. 点击右上角注册账号
  3. 用自己注册的账号进入登陆页面
  4. 在网页里面创建工程,操作非常简单,如下面的图片所示:

Fggs25HfXglZEDnvQRy1L51TYvhA

创建项目,在设备中选择STEP-MXO2-C

FkD5wMwPC_njeo3rxFo6bpdMGKvv

编写Verilog代码

Fkd847k8DZ1QlhL5GQWOjQxsYLb7

完成“逻辑综合”后可以“分配管脚” - 使用核心板上的功能

FrDJ2Ck9VtVyq78s4_8tiZQyR9eI

分配管脚 - 扩展管脚的分配

FsJCl49_xIXlzNpEsT95kE5sIoZ5

文件下载 - 可以将完整的项目下载到本地电脑,也可以将生成的JED文件下载到U本地电脑再复制到“U盘”中

文档资料

附件下载
step-mxo2-c-hw.pdf
U盘模式的小脚丫FPGA核心模块的硬件说明手册
step-mxo2-c-sw.pdf
U盘模式的小脚丫FPGA核心模块的软件操作手册
step-mxo2-c-sch.pdf
U盘模式的小脚丫FPGA核心模块的原理图
团队介绍
苏州思得普信息科技有限公司
团队成员
吴志军
苏州思得普信息科技有限公司创始人/总经理,负责小脚丫FPGA平台的研发及生态建设,20年硬件系统研发经验。
王安然
资深硬件设计工程师、FPGA教学导师,12年硬件研发经验,主持开发了基于小脚丫FPGA的系列学习平台。
陈强
控制工程硕士,资深硬件研发工程师,丰富的嵌入式产品研发与技术支持经验,熟悉嵌入式系统编程、FPGA和数字系统设计。
评论
0 / 100
查看更多
相关产品
目录
硬禾服务号
关注最新动态
0512-67862536
info@eetree.cn
江苏省苏州市苏州工业园区新平街388号腾飞创新园A2幢815室
苏州硬禾信息科技有限公司
Copyright © 2023 苏州硬禾信息科技有限公司 All Rights Reserved 苏ICP备19040198号