基于硬禾课堂STM32G031开发板实现的简易示波器
本项目使用STM32CubeIDE工具以及硬禾课堂STM32G031开发板,实现了一款具有多种功能的简易示波器,支持水平时基与垂直尺度调整,支持波形显示和频谱分析,并简单的AUTO和Single功能,频率测量范围200Hz - 50kHz。
标签
嵌入式系统
简易示波器
2022寒假在家练
电子卷卷怪
更新2022-03-07
南京大学
2561

项目一:基于STM32G031的简易示波器

一、项目成果概述

本项目使用硬禾课堂STM32G031开发板卡以及STM32CubeIDE开发工具,实现了一个简易的示波器。示波器的各项参数或功能概述如下:

1.外观

(1)有主界面、副界面两个界面,并可以相互切换;

(2)主界面包含波形模式和FFT模式,分别显示被测信号的波形和频谱;

(3)波形模式包含:垂直尺度调整、水平时基调整、屏幕中心电平调整、模拟触发电平调整、负时间调整、平均值显示、频率测量显示、峰峰值显示;

FFT模式包含:垂直尺度显示、采样率显示、屏幕中心电平显示、模拟触发电平显示、频谱最大分量(归一化值)显示、频标调整、频标对应分量显示。

(4)副界面包含5个其他功能:通道选择、波形/FFT模式切换、开启AUTO、模拟触发电平极性、开启单次(Single)模式。

2.操作

(1)位于主界面的任意模式时,单击左右键可以使光标在该模式下可调整的功能间移动,转动旋钮调整被光标选中的参数;

(2)位于副界面时,单击左右键可以使光标在5个其他功能间移动,转动旋钮可以调整被选中的功能;

(3)按住旋钮的情况下:单击左键进入主界面、单击右键进入副界面。

(4)开启AUTO后,自适应调整只会在切回主界面后被执行一次;对新波形的自适应调整需要切到副界面——开启AUTO——切回主界面。

(5)开启Single后,无触发时,正常显示波形;触发一次后,波形与频谱均固定,并不会更新,但可以调整负时间和频标;在触发后,调整垂直尺度、水平时基、屏幕中心电平、模拟触发电平、采样率中的任意一者,都会导致下一次触发的捕捉。

Fs6279ugkjlRhMIym55buCN9_Te_FmWbHXp3M_iO3JCtULh2T4rETwccFjGEyV08j31Z27at6B6XaBplUPHi

二、项目需求分析

总的来说,本项目可以分为两个大的模块:GUI模块、采样处理模块。其中,相对于程序的主循环而言,采样处理模块是高速的、“同步”的,GUI模块是慢速的、“异步”的。两个模块间既需要并行不悖,又需要互相交换数据。

对于采样处理模块,主要考虑以下4个需求问题:

1.ADC可控采样率与切换通道的实现;

2.触发电平的实现,以及负时间显示的实现;

3.如何对频率进行较高精度的测定;

4.如何计算信号频谱;

对于GUI模块,主要考虑以下3个需求问题:

1.如何以尽可能低的误判率获取按键与旋钮的信息;

2.中断服务函数所应干涉的范围;

3.如何以尽可能简洁的方式实现按键对GUI的改变

对于两个模块而言,最核心的问题是:如何在两者之间进行高效的数据传输的同时,避免数据的误判或漏判。

 

三、核心技术路线

针对“二”中提出的需求,以下同样分两个模块,对项目的技术路线进行完备的论述。

鉴于HAL库过于庞大,且本人对项目的理解更偏重于硬件底层,除了HAL_Init,SystemClock_Config,以及与NVIC有关的3个最底层的函数(Priority, Enable, ClearPending)外,其他所有的外设配置代码,均为本人阅读器件手册后编写的寄存器代码。

 

1.ADC可控采样率与通道切换

在ADC连续模式下,虽然可以通过调整采样时间来调整采样率,但这样做显然并不好。一方面,这样得到的转换周期(Tsamp + 12.5ADC_Cycle)的倒数,即频率,往往是不规律的非整数,这样做不利于功能调整的层次化与统一化;另一方面,即使采用16MHz主频,在12位分辨率下,ADC最小转化频率也有16MHz / (160.5 + 12.5) ≈92.5kHz,有效测量范围太小。

定时器触发的方式是最好的选择。一方面,只需控制转换时间不大于采样率的倒数,就能获得完全可控的转换率;另一方面,这样有利于定时器触发DMA传输的引入。由于在32MHz主频下,即使是最简单的中断服务函数,频率也只能到150kHz左右,因此,DMA传输既可以提供较高的采样率,又可以使“采样——处理”分离的结构更加清晰。

配置的方法:

对ADC端:

void ADC_init(void)
{
	uint32_t temp;
	RCC->IOPENR |= 0X1UL;//打开PortA时钟
	temp=RCC->IOPENR;//时钟使能需等2个周期
	UNUSED(temp);//避免Warning
	//由于GPIOA->MODER对应位默认为0X3,即模拟输入
	//因此不需要再额外配置PortA

	RCC->APBENR2 |= (0X1UL<<20UL);//打开ADC1时钟
	temp=RCC->APBENR2;
	UNUSED(temp);
	ADC1->CR |= (0X1UL<<28UL);//使能内部参考电压
	//自己写的延时,用TIM17的OPM模式
	TIM17_Delay(1000-1,32-1);//等待参考电压有效
	ADC1->CR |= (0X1UL<<31UL);
	do
	{
		temp=ADC1->CR;//开始校正指令
	}while(temp & (0X1UL<<31));//等待校正结束
	ADC1->CFGR1 |= (0X1UL<<16 | 0X1UL<<12 | 0X2UL<<10 | 0X2UL<<6 | 0X0UL);
	//(discontinuous,overwritten,ext rising edge,TRG2,DMA disabled);

	ADC1->TR1 &= ~(0X0FFF0000);
	ADC1->TR1 |=  (0X0FFF0800);
	//模拟看门狗的高低阈值
	ADC1->CFGR1 |= (0X1<<26 | 0X1<<22 | 0X1UL<<23);
	//AWD1 configuration
	ADC1->CFGR2 |= (0X3UL<<30); //PCLK as ADC_CLK
	ADC1->CHSELR |= (0X1UL << 1 | 0X0UL<<7);//选择通道一
	do
	{
		temp=ADC1->ISR;
	}while(!(temp & (0X1UL<<13)));//等待通道配置有效
	ADC1->CR |= 0X1UL;//enabling ADC1
	do
	{
		temp = ADC1->ISR;
	}while(!(temp & 0X1UL));//ADC Ready
	ADC1->CR |= 0X1UL<<2;//ADC Start
	return;
}

模拟看门狗的配置将在后面说明。这里最关键的,一是必须配置为非连续模式、外部上升沿触发,选择TIM2的TRGO为触发源,并且不能选择ADC为DMA触发源,否则ADC的overwritten特性会迫使软件屡屡清除标志位,以保证DMA Request的持续产生;二是在外部触发时,必须先start。

Fgk01dIUkk0BR-M2EIhjVDRTiWErFpVKjR2x1RwLE3aT-Bqm6SMkBbcz

对DMA端:

void ADC_DMA_init(void)
{
	uint32_t temp;
	RCC->AHBENR |= 0X1UL;
	temp=RCC->AHBENR;//时钟使能需2个周期
	UNUSED(temp);//避免Warning
	DMA1_Channel1->CPAR = (uint32_t)(ADC1_BASE+0X40);
	DMA1_Channel1->CMAR = (uint32_t)(&dat_buf);
	DMA1_Channel1->CNDTR = ADC_MAX * 2;
	DMA1_Channel1->CCR |= (0X2UL<<12 | 0X1UL<<10 | 0X2UL<<8 | 0X1UL<<7 | \
			0X0UL<<3 | 0X1UL<<1 | 0X1 << 5);
			//v-high priority, m-size=16,p-size=16,m-increase,
			//error and complete interrupt, circular mode;
	DMAMUX1_Channel0->CCR &= ~(0X7FUL);
	DMAMUX1_Channel0->CCR |= (0X1FUL);//tim2 as request source
	__NVIC_SetPriority(DMA1_Channel1_IRQn,0);
	__NVIC_EnableIRQ(DMA1_Channel1_IRQn);
	DMA1_Channel1->CCR |= 0X1UL;//enable DMA channel
	return;
}

传输数据使用的是通道一。相比于F407等系列,G031引入了DMAMUX的概念,使得几乎所有的外设和一些事件都可以在任意一个DMA通道上产生请求。由于DMAMUX的0~4对应DMA的1~5,查阅用户指南后,得知设置DMAMUX的CCR的低7位为31(0X1F)表示TIM2的Update。

对TIM端:

void TIM2_Init(unsigned int priority)
{
	uint32_t temp;
	RCC->APBENR1 |= 0X1UL;//使能TIM2时钟
	temp=RCC->APBENR1;
	UNUSED(temp);
	//TIM2->DIER |= 0X1UL;//允许更新中断
	TIM2->CR1 |= 0X1UL<<2UL;//手动更新不触发中断
	TIM2->CR2 |= 0X2<<4;//update as TRGO
	TIM2->SMCR |= 0X1UL<<7;
	TIM2->DIER |= 0X1UL<<8;
	TIM2->ARR = 16-1;
	TIM2->PSC = 0;
	temp=TIM2->ARR;
	TIM2->EGR |= 0X1UL;//手动更新寄存器值
	temp=TIM2->PSC;
	UNUSED(temp);
}

通过CR2的主模式位MMS[6:4]配置TIM2的Update为TRGO,否则无法正确触发ADC;使能更新事件的DMA请求。

在上述框架下,DMA只要开启单次模式,等待全传输中断函数置标志位就可以了。需要注意的是,在清除中断标志的时候,需要同时清除NVIC端和外设端的标志位,否则会陷入无限的中断循环。

若开启了上述外设配置,则上述架构在DMA One shot模式下就能完成采样率可调的循环数据传输。而我们最终开启的是DMA Circular模式,这将在后面说明。

      

2.触发电平的实现,以及负时间的实现

触发电平,即以被测信号越过某个阈值电压为起算点,采集后面的若干个数据。该方法可以使波形稳定地显示在屏幕上。

负时间,即可以显示触发电平前一定时间内的波形。当触发电平用于异常信号的单次捕捉(Single模式)时,负时间可以显示异常信号前的波形。

有同学在无条件采样后计算一组数据的均值(中值),并显示从中值样点开始的数据,从而通过软件实现触发电平。这种方案在实现AUTO时不失为一个好的启发,但在此面临两个问题:第一,单纯的中值判断无法控制触发的极性,即无法选择上升沿还是下降沿触发。若增加前后值判断,则将增加软件运算量;第二,这种算法下不可能出现“无触发”的、波形乱晃的现象,与真实的数字示波器存在差异。从本质上讲,这种方法没有充分利用硬件底层。

G031的ADC自带一个模拟看门狗,即Analog Window Watchdog的特性。即当采样值超出规定范围(窗口)时,输出AWD_OUT将持续拉高,直至电压落回窗口内,延迟为一个转换周期。

FuAokYQ85Cc0pWeU4r5iRfko7-Nn

并且,这个信号是硬件连接(hardwired)至TIM1的外部触发MUX的。它可以通过TIM1的AF1寄存器被选择为TIM1的从模式外部触发信号。

FhiZkoDHlmrjpmIQb-f7U-_T3peQ

配置TIM1从模式为Trigger Mode(上升沿触发启动)、选择触发源为外部触发ETR,再连接AWD1至ETR,就可以在DMA One Shot模式下,实现基于硬件的、真正的触发电平功能。通过ADC的TR1设置阈值,假设TIM1为上升沿启动,则当窗口为(x , 0x0FFF)时,为下降沿触发;当窗口为(0x0000 , x)时,为上升沿触发。

Fr4yKW3QAYAeUzJ8UfeVLUbUxSZq

然而在这样的结构下,是无法实现负时间功能的。由于AWD_OUT的上升沿是不可预知的随机事件,因此应该对程序结构进行微调:改用DMA Circular模式,AWD_OUT作为采样停止——而不是开始——的信号。

假如我们希望采集触发后的256个数据(为方便FFT运算),又希望显示负时间的128个数据,则应该配置TIM2为ADC触发源,令TIM1的溢出周期为TIM2的256倍。在TIM1的中断服务函数中关掉(Disable)TIM2,就能实现上述功能。与此同时,DMA1_Channel1的CNDTR中将保存一个循环中剩余待传输的数据个数,据此可以定位连同负时间在内的整段有效数据在DMA目标数组内的起止位置。

FoWuqWUEYnTwviKvz0anMFeIMaMJ若目标数组大小为512,当TIM2停止时,CNDTR的值为CH1_CNDTR,则触发点下标应为

(512 - CH1_CNDTR - 256) % 512

= (512 - CH1_CNDTR + 256) % 512

= (768 - CH1_CNDTR) % 512

然而这样的设计存在一个问题:模拟触发事件具有随机性,如果它在重新开启TIM2后的几个周期内就发生,那么当新一段数据被存储完成后,负时间位置的数据还是上次采样的数据,这就会导致负时间显示错误。

FlptLc-YsNg7BDoSM2uK5UJEcPNA

为了避免上述情况,在新一轮开启后,必须先等待一次全传输中断再开启TIM1。事实上,只要一次全传输中断后,无论TIM1隔多久开启,数组中的时间轴都是连续的。用dat_buf_ready的bit0表示全传输中断、bit7表示TIM1中断。

if((!(cursor_buf & (0X1 << 7))) || ((cursor_buf & (0X1 << 7)) && (single_flag == 0)))
	  {
		  TIM1->ARR = TIM2->ARR;
		  TIM1->PSC = (TIM2->PSC + 1) * 256 - 1;
		  TIM1->EGR |= 0X1;
		  {
			  DMA1_Channel1->CNDTR = ADC_MAX * 2;
			  DMA1_Channel1->CCR |= 0X1UL;
			  TIM1->SR &= ~(0X1UL);
			  TIM2->CR1 |= 0X1UL;
		  }

		  while(!(dat_buf_ready & 0X01))
		  {
		  }
		  TIM1->DIER |= (0X1UL);
		  TIM1->SMCR |= (0X0UL<<16 | 0X6UL);
		  dat_buf_ready &= ~(0X1);
	  }
void TIM1_BRK_UP_TRG_COM_IRQHandler(void)
{
	CH1_CNDTR = DMA1_Channel1->CNDTR;//赋值了不一定用,但这样最准确
	if(TIM1->SR & 0X1UL)
	{
		{
			TIM2->CR1 &= ~(0X1UL);
			TIM1->CR1 &= ~(0X1UL);
			//Stop tim2 and consequently stop DMA
			TIM2->CNT = 0;//resetting TIM2
			dat_buf_ready |= 0X1 << 7;//setting complement flag
		}
		TIM1->SR &= ~(0X1UL);
		__NVIC_ClearPendingIRQ(TIM1_BRK_UP_TRG_COM_IRQn);
	}
}
void DMA1_Channel1_IRQHandler(void)//中断服务函数
{
	DMA1->IFCR |=0X1UL;
	dat_buf_ready |= 0X1;
	__NVIC_ClearPendingIRQ(DMA1_Channel1_IRQn);
}

3.信号频率的测定

数字测定频率的方法,一般是先整形再测量。即通过施密特触发器(比如TLV3501)先把信号整形成脉冲,再对脉冲进行测定。对脉冲的测定也有两种思路:一是直接同步采样后计算脉冲个数,适用于较高频率;二是计算脉冲高低电平的周期个数,适用于较低频率。两种方法均受限于系统最高主频。

这也是本项目至今为止两个尚为得出最优解的难点之一。

本项目从脉冲整形到计数均采用硬件特性为主、软件程序为辅的思路。根据前面的讨论可知,ADC的AWD在一定频率以下等效于一个极其理想的脉冲整形器。相较于模拟施密特触发器,其最大的特点在于脉冲整形的响应特性与信号峰峰值的绝对值无关,而仅受到信道噪声和量化噪声的干扰。因此,测量频率最基本的方法,也是本项目采用的方法,就是对AWD的输出信号AWD_OUT在一定时间内进行计数。此方法实现起来最为简单,但面临两个很大的问题:第一,相比于FPGA广泛采用的双闸门法,此方法会把闸门时间的前后沿漏掉,引入一定的误差,但这并非主要矛盾。

FiepJXGfZajgEhYdoRWr8XdEONa-

第二,实测表明,在测定较低频率的正弦波或三角波时,频率将出现较大误差,只有对方波的测定最为准确。这种误差只有在200Hz以上才可以忽略不计。究其本质,是因为信号的噪声抖动所致。AWD_OUT的灵敏度带来了一个致命的缺点:没有任何的滞回特性,这就导致在过触发点附近的任何噪声都可能被极大地放大,只有边沿极抖的方波才能“幸免”。反观模拟脉冲整形电路,由于人为设计滞回电路以及电路本身输入输出电容的存在,对输入信号总有一定的消抖能力。当然,用于传输测试信号的信道本身也存在问题。一方面,用于输出测试信号的手持信号源输出的信号可能质量欠佳;另一方面,相比于“BNC——同轴线——SMA”信道,“鳄鱼夹——杜邦线——排针”信道的明显劣势也是不言而喻的。

一定程度上减弱抖动影响的措施,唯有通过定时器自带的数字滤波器,对AWD输出信号进行数字滤波。但实验证明,若用2Msps的速率采集峰峰值3.0V的正弦波,即使采用最大滤波长度,依然会将10Hz误测成100Hz左右,而在滤波前,误测值高达2kHz左右。

由于后续AUTO功能的需要,测量频率和数据采集是分开的。也即频率测量与时基无关。

if((!(cursor_buf & (0X1 << 7))) || ((cursor_buf & (0X1 << 7)) && (single_flag == 0)))
//测量频率
	  	  {
			 //配置参数
			  //保存TIM2原参数,并设为2MHz采样率
			  arr = TIM2->ARR;
			  TIM2->ARR = 16 - 1;
			  smp = (ADC1->SMPR) & 0X7;
			  ADC1->SMPR &= ~(0X7);
			  ADC1->SMPR |= 0X1;
			  psc = TIM2->PSC;
			  TIM2->PSC = 0;
			  //将TIM1的从模式更改为External Clock 1
			  //并打开数字滤波
			  TIM1->SMCR &= ~((0X1 << 16) | 0X7);
			  TIM1->SMCR |= 0X7;
			  TIM1->SMCR |= 0XF << 8;
			  TIM1->PSC = 0;
			  TIM1->ARR = 65535;
			  TIM1->CNT = 0;
			  TIM1->EGR |= 0X1;

			  //配置SysTick
			  SysTick->VAL = 0;
			  SysTick->LOAD = 16000000 -1;

			  //开启测量
			  TIM2->CR1 |= 0X1;
			  TIM1->CR1 |= 0X1;
			  SysTick->CTRL |= 0X1;
			  while(!(SysTick_UE_FLAG & 0X1))
			  {
			  }
			  //结束测量,恢复TIM1参数
			  TIM1->CR1 &= ~(0X1);
			  TIM2->CR1 &= ~(0X1);
			  SysTick_UE_FLAG &= ~(0X1);
			  TIM1->SMCR &= ~((0X1 << 16) | 0X7);
			  TIM1->SMCR &= ~(0XF << 8);
		  }

4.如何计算信号频谱

本项目的FFT算法没有调用任何除C++标准库以外的库,这一方面是考虑到RAM空间的紧张,另一方面则是起到锻炼的作用。

本项目的FFT算法就是最简单的256点基-2 FFT算法,将复数乘法拆分为实虚部进行同址运算,并将FFT因子存储为const型常量。

Fhzy4DI07h6dsmg5twGT1iHK8Oa1

基-2 FFT的蝶形算子概念在DSP教材中均有解释,本项目完全依照其定义与原理编写。

 

以上完成了采样处理模块的论述,下面将进行GUI模块的论述。

鉴于本项目具有一定的复杂性,我们将GUI模块又分为两部分:一是用户交互部分,即按键和旋钮及与之相关的中断服务函数,二是显示部分,即OLED屏驱动以及主循环。为了避免使程序过于复杂,用户交互部分并不能直接、即时地改变显示部分,用户的操作将被保存在由几个变量模拟成的寄存器的各个位里,并被主循环的固定部分重复读取、刷新。各寄存器及其各位的定义如下。

FnLxjEMgasq4AXHaT-no6DI4ZIGy

各个位的含义及位置,均以宏定义的形式在头文件中声明。这样,就可以在刷新函数中通过位运算的方式获取各个参数。

//macros for register ui_buf
#define CH_SEL_BIT_OFFSET (0)
#define CH_SEL_BIT (0X1 << CH_SEL_BIT_OFFSET)

#define FFT_ON_BIT_OFFSET (1)
#define FFT_ON_BIT (0X1 << FFT_ON_BIT_OFFSET)

#define TRG_POL_BIT_OFFSET (2)
#define TRG_POL_BIT (0X1 << TRG_POL_BIT_OFFSET)

#define AUTO_ON_BIT_OFFSET (3)
#define AUTO_ON_BIT (0X1 << AUTO_ON_BIT_OFFSET)

#define TIME_BASE_BITS_OFFSET (4)
#define TIME_BASE_BITS (0XF << TIME_BASE_BITS_OFFSET)

#define AMP_DIV_BITS_OFFSET (8)
#define AMP_DIV_BITS (0XF << AMP_DIV_BITS_OFFSET)

#define NEG_TIME_BITS_OFFSET (12)
#define NEG_TIME_BITS (0X7F << NEG_TIME_BITS_OFFSET)

#define TRG_LV_BITS_OFFSET (19)
#define TRG_LV_BITS (0X7F << TRG_LV_BITS_OFFSET)

#define BIAS_BITS_OFFSET (26)
#define BIAS_BITS (0X3F << BIAS_BITS_OFFSET)

这样做的显著好处就是极大地节省了RAM空间。因为最小的变量也是8位,却没有任何参数达到256档之多,尤其是那些只有一位的标志位,完全没有必要用8位变量表示。当然,这又是一对用时间换空间的矛盾。因为位运算的操作量是直接赋值运算的3倍,这是在内存空间紧张的情况下最好的选择。

 

1.如何以尽可能低的误判率获取按键和旋钮的信息

由硬件电路可知,旋钮的AB相、旋钮按键、左右按键,分别连接在PB4,PA15,PB3,PA4,PA5上。其中,三个按键只要用外部中断+延时消抖就能很好地判断,而旋钮则具有一定的复杂性。

FveBql--1GawZiDEDUKFKy6MIYHD

我们判断旋钮不应选择上升沿,这是由旋钮的硬件特性决定的。出于简化考虑,本项目只对PA15的下降沿做了外部中断,即:根据下降沿时PB4的电平高低来判断左旋或右旋,但这带来的问题也很明显:如果旋钮被误转了一半,那么即使松开复原了,也会被判定为一次转动——这往往发生在用户完成一次有效转动之后,由于惯性而导致的误触。

事实上,正确的做法应该是:用TIM3的CC1来捕捉PB4(以此避开与PA4在EXTI Line4上的冲突),用EXTI Line15来捕捉PA15。只要两个中断服务函数共享一个全局变量,就可以解决误触的问题。

由下图(在下一页)可以看出,除了切换主副界面以外,按键和旋钮并不会直接去动那6个全局变量寄存器。而主副界面的“切换”也只是动了一个位M_S_FLAG,真正的显示更新在主循环中完成。除此之外,按键和旋钮的加、减被记录在变量add_buf和min_buf中,而因为按键和旋钮都可以进行加减操作,因此用flag寄存器的0位和7位来表示究竟是按键按下,还是旋钮转动。为了避免抖动,在PA15外部中断时,add_buf和min_buf只有一个能被置位,而置位它的同时将强行清零另一个,也算是一个简单的软件消抖。

这其实也回答了需求中提出的第二个问题:中断服务函数只改变加减标志位,而不改变全局寄存器,否则整个服务函数将因充斥各种逻辑判断而变得十分冗长与庞大,以至于喧宾夺主。

void EXTI4_15_IRQHandler(void)
{
	if(EXTI->FPR1 & (0X1 << 15))
	{
		flag |= 0X1 << 7;
		if(!(GPIOB->IDR & (0X1 << 4)))
		{
			add_buf ++;
			min_buf = 0;
		}
		else
		{
			min_buf ++;
			add_buf = 0;
		}
		TIM17_Delay(5000-1,320-1);
		EXTI->FPR1 |= 0X1 << 15;
	}
	if(EXTI->FPR1 & (0X1 << 4))//left key down,--, or switch to main ui
	{
		TIM17_Delay(5000-1,320-1);
		if(!(GPIOA->IDR & (0X1 << 4)))
		{
		flag |= 0X1;
		if(GPIOB->IDR & (0X1 << 3))//PB3 not down
		{
			if(!(M_S_FLAG & cursor_buf))//main ui
			{
				if(!(ui_buf & FFT_ON_BIT))
				{
					if((cursor_buf & M_UI_BITS) > 0)
						cursor_buf -= 0X1 << M_UI_BITS_OFFSET;
				}
				else
				{
					fft_col |= 0X1 << 7;//变量标志位
				}
			}
			else//sub ui
			{
				if((cursor_buf & S_UI_BITS) > 0)
					cursor_buf -= 0X1 << S_UI_BITS_OFFSET;
			}
		}
		else//PB3 down
		{
			cursor_buf &= ~(M_S_FLAG);
		}
		}
		EXTI->FPR1 |= 0X1 << 4;
	}
	if(EXTI->FPR1 & (0X1 << 5))//right key down,++, or switch to sub ui
	{
		TIM17_Delay(5000-1,320-1);
		if(!(GPIOA->IDR & (0X1 << 5)))
		{
		flag |= 0X1;
		if(GPIOB->IDR & (0X1 << 3))//PB3 not down
		{
			if(!(M_S_FLAG & cursor_buf))//main ui
			{
				if(!(ui_buf & FFT_ON_BIT))
				{
					if((cursor_buf & M_UI_BITS) < (0X4 << M_UI_BITS_OFFSET))
						cursor_buf += 0X1 << M_UI_BITS_OFFSET;
				}
				else
				{
					fft_col &= ~(0X1 << 7);
				}
			}
			else//sub ui
			{
				if((cursor_buf & S_UI_BITS) < (0X4 << S_UI_BITS_OFFSET))
					cursor_buf += 0X1 << S_UI_BITS_OFFSET;
			}
		}
		else//PB3 down
		{
			cursor_buf |= M_S_FLAG;
		}
		}
		EXTI->FPR1 |= 0X1 << 5;
	}
	__NVIC_ClearPendingIRQ(EXTI4_15_IRQn);
}

2.如何以尽可能简洁的方式实现按键对GUI的改变

由上述讨论可以看出,最简洁的方式就是在每次进入主循环后的固定位置,根据6个全局寄存器的值,共同决定本次循环应该在屏幕上显示什么,并清除所有的标志位。由于实现该功能的UI_Refresh函数太长,这里仅以一个switch-case分支作为示例。

case (0X1 << M_UI_BITS_OFFSET)://水平分格
{
	flag |= 0X1 << 2;
	if(add_buf && ((ui_buf & TIME_BASE_BITS) < (0XF << TIME_BASE_BITS_OFFSET)))
	{
		add_buf = 0;
		ui_buf += (0X1 << TIME_BASE_BITS_OFFSET);
	}
	else if(min_buf && ((ui_buf & TIME_BASE_BITS) > (0X1 << TIME_BASE_BITS_OFFSET)))
	{
		min_buf = 0;
		ui_buf -= (0X1 << TIME_BASE_BITS_OFFSET);
	}
	break;
}

事实上,这是本项目至今没有完全得出优化解的另一个难点。虽然这样的结构很简洁,但我们后续就将看到:这种完全“同步”于主循环,而屏蔽任何“异步”带来的后果,就是当水平时基很大时,整个程序也会变得非常缓慢,以至于几乎进入了一种“假死”状态。因为即使按下了按键,至少也要等一次主循环结束。而在以低的采样率采集数十Hz信号时,连同等待触发加256个采样点在内的时间,是相当可观的。这启示我们,中断服务函数应该真的具有“中断”的作用,而不仅仅是完成一个硬件电路就可以实现的状态机。

至于采样处理模块的更新,则与GUI的更新如出一辙:同样是根据6个全局寄存器的值来更新,这样保证了显示与实际相符。只不过这一次更新的是模拟开关档位、TIM2溢出频率,TIM14与TIM16的PWM波占空比等参数。

 

四、其他功能简述

在核心部分以外,以下将对AUTO,Single以及波形显示函数作简要的论述。

1.AUTO功能

所谓的AUTO功能,是指示波器根据当前被采信号的直流偏置、峰峰值、频率等特点,自动调节显示时基、触发电平、垂直尺度等参数,使得整个波形尽可能以最大的完整度和占满率显示在屏幕上。

在本程序中,频率的测定与采样时基无关,这对AUTO的实现无疑是有利的。而由于输入端采用了反相放大(衰减)器加同相端直流偏置的方式,而不是在同一端接成加法器,因此直流偏置的概念本身变得模糊。

Fu1guGNH2iVtqEzSuharSITew-Pz

上图为输入端电路。其中Vi为真实输入值,Vo为ADC实际采到的值。据此,我们可以得出如下映射关系:

FmIvMrWAu64aSwHq-QLPh3rJIukk

根据这个关系,就能根据ADC采样值反推出真实的电压。在AUTO时,我们首先将触发电平选在屏幕中心(即Xadc = 2048,Vo = 1.65V),然后求出真实输入电压的中值(而不是均值,因为,如果输入的是90%占空的方波,那么中值作为触发的效果显然比均值要好),最后,通过解方程的方式,反推出TIM16或TIM14应该输出的PWM波占空比,就能使波形以中值附近为中心显示在屏幕上。

AUTO模式不能和FFT模式以及Single模式一起开启。

每次在副界面打开AUTO后,AUTO指令只会被执行一次。在AUTO后,任何除查看负时间(波形模式)和频标(FFT模式)以外的操作均会解除AUTO。每次要执行新一次AUTO,需要切换副界面——保证AUTO处于OFF——再将AUTO调至ON。

 

2.Single单次模式

在打开Single模式时,示波器会在一次触发之后将波形冻结。此时可以切换主副界面,在频谱和波形显示之间切换、查看负时间(波形模式),以及调整频标查看各分量大小(FFT模式)。除此之外的任何操作都会解除冻结,并自动等待与捕捉下一次触发。

Single模式不能和AUTO模式一起开启。

 

3.波形显示函数

与大多数人不同,本项目的波形显示函数没有调用DrawLine,而是用了自己编写的另一个基于底层的方法。这样做的初衷是为了进一步验证自己对OLED底层驱动的理解,并试图通过自己编写的显示函数来避免移植库中显存的使用。然而事实证明,显存的存在有其优势,且自己建立一套字模就好比天方夜谭。

尽管在8KB RAM的开发板上,2KB的显存不免奢侈,但显存的概念本身——尤其是在缓存以避免频闪上——是很重要的。对于一些更高阶的开发板(如F407)系列,显存将被外扩SRAM硬件实现。一个典型的例子就是EMWIN库。

本程序采用的函数,主要是讨论一种底层驱动的方法。

绘制波形的确可以用DrawLine,然而也可以采用不同的思路。

因为波形一定是以相邻两个点为步进,一个一个点绘制的,也就是说,这本质上不是一个通用的DrawLine问题,而是一个x轴步进固定为1的特殊的DrawLine问题,那么这个问题就可以有不同的解法。我们可以认为:第i点与第i+1点的数值,共同决定了第i+1列的显示。但它们不能影响第i列的显示。

这要从我们调用的底层讲起。

板载的这款OLED有两种寻址模式:一是写入0X20指令后的列自增寻址,即选定页地址和列地址后连续写入,页地址固定而行地址自增;二是写入0X21指令后的页自增寻址,即选定页地址和列地址后连续写入,页地址自增而行地址固定。波形绘制使用的就是不同于常规的0X21指令。

可以想象,每次更新波形时,是一列一列进行的。先清除一列上已有的波形,再显示新的波形(注意这是直接写进OLED里,而不是显存里的,因此无法进行“ |= ”运算)。如果第i点和第i+1点共同决定第i列和第i+1列的显示,那么同理,第i-1点和第i点也将共同决定第i-1列和第i列的显示。这样就会导致第i列在显示上出现矛盾:后面的会把前面的冲掉。一个典型的例子就是:在显示方波时,这种方法会导致所有的沿显示为空白。

因此,要想达到显示波形的效果,只需要简单地在第i+1列上,填充第i点的行与第i+1点的行之间的全部行就可以了。而在后续显示示波器分格的虚线、触发电平虚线,以及负时间或频标虚线时,只要通过简单的位运算和或运算,在恰当的行与列将虚线的每个像素点与波形数据进行“或”运算即可。

五、总结与思考

由于此前完全没有独立进行过这种完整项目的开发,更没有试过完全摆脱库函数的束缚,直接对着器件手册进行寄存器编程,因此从这个意义上讲,这次项目实践的收获无疑是丰硕的。

寄存器编程的巨大好处不仅仅在于证明自己有不再跟着别人编写的库函数亦步亦趋的能力,而更多地在于对单片机的硬件特性本身有了更加深入和透彻的理解。单是觉得看懂了器件手册却不会根据自己对它的理解进行编程,抑或单是学会用库函数拼凑出各种功能而不知其所以然,在我看来都不是学习嵌入式最终的目的。换句话说,我既不想像“嵌入式接口技术”课那样,花一个学期背完一份考纲,也不想把嵌入式开发玩成一个纯软件的东西。

这其中当然也掺杂着很大的个人因素。在参加电赛的一年半里,我已经受够了在那些被奉为神谕的库函数面前不得不唯唯诺诺的姿态,为此我还我曾经干出过这样的蠢事:每次新建一个工程,就把所有相关的外设驱动库函数复制一份过去,以至于锐减的E盘容量呈现出一派日积月累和勤学苦练的虚假繁荣——全都是泡沫经济罢了。

性格执拗与固执行事,就好比在众目睽睽之下丢开大路不走,而非要在泥潭水沟里摔得鼻青脸肿,比的就是在他人的冷嘲热讽与自己的自我怀疑中,哪个会先让你破防。别人看了视频,用一行代码一分钟就点亮的灯,我却花了半天还差点没累死在电脑前,换来的是两个刻骨铭心的知识点:配置外设前要先打开RCC的时钟位,以及GPIO MODER的复位值是全1而不是全0。当绿灯亮起来的那一刻,我才深切地意识到,自己还有很长的路需要走。

我的另一个教训在于低估了GUI设计的难度。在2月9号完成了核心部分的架构之后,我天真地以为只要享受接下来的过程就好了,殊不知行百里者半九十,真正魔鬼的东西,恰恰还在后头。时至今日,我虽然好歹结了个项,但心中的满足简直微乎其微。程序跑出来的整体结果很不理想,单纯的实现功能与不恶心你的用户之间,隔的真不是一两条鸿沟。在敲完代码后,我才意识到是否应该引入一个简单的操作系统来实现一个更加人性化的GUI,因为挫败使我意识到:这种“睁眼主循环,闭眼进中断”的思维,可能确实有点太单细胞了。

除此之外,实现一个示波器所需的各种基本功能,我也有了一个大体的理解。知识决定想象力的范畴,这与我一贯的认知相符——毕竟在此之前,我也只限于纸上谈兵地构建一款拥有各种功能示波器,却每每止步于“这个功能理论上可以实现”。然而当我试图把自己的想法变为现实,理论和实际的差距才会一次次地刷新我的认知:别人说起来轻描淡写的东西,始终都只是别人的。

后续的改进我不会在这个项目的基础上完成,而会在一个去年遗留下来的产学合作项目中,转移到F407和G031构成的双MCU结构(我都没脸说“双核系统”,真的)上完成。至于这个平台,它还有实验价值。我还得用它来搞清楚,为什么用外部时钟驱动计时器时,溢出更新无法触发DMA——这是我在本次寄存器编程中唯一一个尚未解释清楚的问题。

附件下载
test0.zip
团队介绍
南京大学2019届本科生 赵雨飞
团队成员
赵雨飞
南京大学2019届本科生 擅长STM32嵌入式开发以及FPGA编程,目前在转图像处理方向
评论
0 / 100
查看更多
目录
硬禾服务号
关注最新动态
0512-67862536
info@eetree.cn
江苏省苏州市苏州工业园区新平街388号腾飞创新园A2幢815室
苏州硬禾信息科技有限公司
Copyright © 2023 苏州硬禾信息科技有限公司 All Rights Reserved 苏ICP备19040198号