STEP-MAX10:基于Altera MAX10M02/08的FPGA学习板,带板载编程器
基于Intel的MAX10M02和08系列的FPGA学习模块,板载编程器,4个按键、4个拨码开关、8个LED、2个RGB LED以及2个7段数码管
标签
FPGA
小脚丫
数字逻辑
Altera
硬禾发布
更新2022-08-16
5124

STEP-MAX10是小脚丫平台基于Altera公司芯片开发的FPGA开发板。核心FPGA芯片采用了Altera公司MAX10系列下的10M08SCM153/10M02SCM153。小脚丫STEP MAX10开发板的尺寸同样也采用了DIP40封装,小巧携带方便。板载资源也是十分丰富,包含4路轻触按键,4路拨码开关,8路用户LED,2路RGB_LED三色灯,此外,板卡集成了下载器,一根MicroUSB数据线即可完成开发板的供电与下载。MAX10芯片的资源更丰富,是想学习Altera FPGA开发的最好入门工具。

正面图片

背面图片

小脚丫STEP-MAX10

硬件规范

小脚丫STEP-MAX10硬件结构

  • 核心器件:Altera 10M08SCM153
    • 153脚BGA封装,引脚间距0.5mm,芯片尺寸8mm x 8mm;
    • 上电瞬时启动,;
    • 8000个LE资源, 最大172KB 用户闪存,378Kbit RAM;
    • 2路PLL;
    • 24路硬件乘法器;
    • 支持DDR2/DDR3L/DDR3/LPDDR2存储器;
    • 112个用户GPIO;
    • 3.3V电压供电;
  • 板载资源:
    • 两个RGB三色LED;
    • 2路用户LED;
    • 4路拨码开关;
    • 2路按键;
    • 36个用户可扩展I/O;
      - 支持的开发工具Altera QuartusII;
      - 一路Micro USB接口;
      - 一个10pin的JTAG编程接口;
      - 板卡尺寸52mm x 18mm;

原理图

注:LED部分由于请以管脚分配表为准!

引脚定义

小脚丫STEP-MAX10引脚对照表

上电测试

STEP-MXO2 V2开发板通过USB供电,采用了常见Micro USB接口。开发板出厂内置测试程序,上电后:

  1. 数码管:循环显示0~7之间的数字
  2. 流水灯:依次闪烁实现流水效果
  3. 三色灯:两个三色灯逐次显示红,绿,蓝,白颜色
  4. 拨码开关:控制数码管、流水灯及三色灯闪烁的速度,
  5. 四位拨码开关全部拨至下端,闪烁速度最快(0.5s左右)
  6. 四位拨码开关全部拨至上端,闪烁速度最慢(2s左右)
  7. 四位拨码开关处于其他状态时,闪烁速度适中(1s左右)
  8. 按键开关:控制数码管、流水灯及三色灯闪烁的方向及显示的亮度:
    1. K1:控制数码管、流水灯及三色灯闪烁的方向或顺序
    2. K2:控制所有显示的亮度,分六级亮度等级,按K2亮度增强
    3. K3:控制所有显示的亮度,分六级亮度等级,按K3亮度减弱
    4. K4:软件复位

文档资料

物料清单
团队介绍
苏州思得普科技有限公司
团队成员
吴志军
苏州思得普科技有限公司创始人/总经理,负责小脚丫FPGA平台的研发及生态建设,20年硬件系统研发经验。
王安然
资深硬件设计工程师、FPGA教学导师,12年硬件研发经验,主持开发了基于小脚丫FPGA的系列学习平台。
陈强
控制工程硕士,资深硬件研发工程师,丰富的嵌入式产品研发与技术支持经验,熟悉嵌入式系统编程、FPGA和数字系统设计。
评论
0 / 100
查看更多
硬禾服务号
关注最新动态
0512-67862536
info@eetree.cn
江苏省苏州市苏州工业园区新平街388号腾飞创新园A2幢815室
苏州硬禾信息科技有限公司
Copyright © 2023 苏州硬禾信息科技有限公司 All Rights Reserved 苏ICP备19040198号