2021暑假一起练-利用ADC制作一个数字电压表
基于小脚丫FPGA综合训练平台,利用串行ADC制作的数字电压表,可通过旋钮改变电压值并实时显示在OLED 屏幕上
标签
嵌入式系统
FPGA
数字逻辑
显示
zbw283
更新2021-09-15
1582

一、项目要求

1.旋转电位计可以产生0-3.3V的电压
2.利用板上的串行ADC对电压进行转换
3.将电压值在板上的OLED屏幕上显示出来
 
二、设计思路
Ft7lN7eVKNHC3uJwwczfB6Aw4ecS                                                                                                                             图2.1 数字电压表原理框图
 
   如图2.1所示,整个数字电压表由一个顶层模块和四个功能模块组成。四个功能模块分别是串行ADC驱动模块,数值转换模块、OLED显示模块和数码管显示模块。首先,我们要制作的是一个数字电压表,而电压值是一个模拟量,所以我们需要使用ADC(模数转换器)把模拟信号转换为数字信号。本次使用的板卡带有ADS7868芯片,通过编写驱动程序,我们可以驱动该芯片对电位计电压值进行采样,得到8位的采样数据。之后通过SPI总线与数字FPGA进行通信。当然,采样获得的8位数据是一个相对量度值,范围是0~255,而实际的电压值范围是0~3.3V,所以进行一个乘法运算,256对应3.3V,0对应0V。接下来,我们希望将电压值显示在OLED 屏幕上和数码管上。由于采样数据是二进制表示的,所以显示之前,先要进行数值转换,从bin码转换为bcd码。
FtreRWQ1oK1btX4mgRRttsbD9Cm3
                                                                                                                             图2.2 数字电压表RTL视图
   图2.2给出了综合后的RTL视图,从这个图中,我们可以更清晰地看到各个模块之间的联系及其输入输出信号。
三、项目原理及代码展示
3.1 ADC模块(ADS7868)
   模数转换器即A/D转换器,或简称ADC,通常是指一个将模拟信号转变为数字信号的电子元件。通常的模数转换器是将一个输入电压信号转换为一个输出的数字信号。由于数字信号本身不具有实际意义,仅仅表示一个相对大小。故任何一个模数转换器都需要一个参考模拟量作为转换的标准,比较常见的参考标准为最大的可转换信号大小。而输出的数字量则表示输入信号相对于参考信号的大小。8位的ADC,分辨率为2的8次方等于256,即将Vref分成256份,能够分辨的模拟步进为Vref / 256,量化数据N = 256 * Vin / Vref 。串行ADC与数字电路接口为三根线(cs,clk,din),兼容三线SPI总线,cs为芯片使能管脚,clk为芯片时钟管脚,din为芯片数据管脚。本次实验中,我们使用的芯片是ADS7868,与案例中的ADC081S101类似,所以可以直接套用其驱动程序。
module ADS7868
(
input				clk,		//系统时钟
input				rst_n,  	//系统复位,低有效

output	reg			adc_cs,		//SPI总线CS
output	reg			adc_clk,	//SPI总线SCK
input				adc_dat,	//SPI总线SDA

output	reg			adc_done,	//ADC采样完成标志
output	reg [7:0]	adc_data	//ADC采样数据
);

localparam	HIGH = 1'b1;
localparam	LOW  = 1'b0;

reg [7:0] cnt; //计数器
always @(posedge clk or negedge rst_n)
	if(!rst_n) cnt <= 1'b0;
	else if(cnt >= 8'd34) cnt <= 1'b0;
	else cnt <= cnt + 1'b1;
	
reg [7:0] data;
always @(posedge clk or negedge rst_n)
	if(!rst_n) begin
		adc_cs <= HIGH; adc_clk <= HIGH; 
		data <= 1'b0; adc_data <= 1'b0; adc_done <= LOW;
	end else case(cnt)
		8'd0 :  begin adc_cs <= HIGH; adc_clk <= HIGH; end
		8'd1 :  begin adc_cs <= LOW;  adc_clk <= HIGH; end
		8'd2,8'd4,8'd6,8'd8,8'd10,8'd12,8'd14,8'd16,
		8'd18,8'd20,8'd22,8'd24,8'd26,8'd28,8'd30,8'd32:	
				begin adc_cs <= LOW;  adc_clk <= LOW;  end
		8'd3 :  begin adc_cs <= LOW;  adc_clk <= HIGH; end 						//0
		8'd5 :  begin adc_cs <= LOW;  adc_clk <= HIGH; end 						//1
		8'd7 :  begin adc_cs <= LOW;  adc_clk <= HIGH; end 						//2
		8'd9 :  begin adc_cs <= LOW;  adc_clk <= HIGH; data[7] <= adc_dat; end 	//3
		8'd11 : begin adc_cs <= LOW;  adc_clk <= HIGH; data[6] <= adc_dat; end	//4
		8'd13 : begin adc_cs <= LOW;  adc_clk <= HIGH; data[5] <= adc_dat; end 	//5
		8'd15 : begin adc_cs <= LOW;  adc_clk <= HIGH; data[4] <= adc_dat; end 	//6
		8'd17 : begin adc_cs <= LOW;  adc_clk <= HIGH; data[3] <= adc_dat; end 	//7
		8'd19 : begin adc_cs <= LOW;  adc_clk <= HIGH; data[2] <= adc_dat; end 	//8
		8'd21 : begin adc_cs <= LOW;  adc_clk <= HIGH; data[1] <= adc_dat; end 	//9
		8'd23 : begin adc_cs <= LOW;  adc_clk <= HIGH; data[0] <= adc_dat; end 	//10
		8'd25 : begin adc_cs <= LOW;  adc_clk <= HIGH; adc_data <= data; end 	//11
		8'd27 : begin adc_cs <= LOW;  adc_clk <= HIGH; adc_done <= HIGH; end 	//12
		8'd29 : begin adc_cs <= LOW;  adc_clk <= HIGH; adc_done <= LOW; end 	//13
		8'd31 : begin adc_cs <= LOW;  adc_clk <= HIGH; end 						//14
		8'd33 : begin adc_cs <= LOW;  adc_clk <= HIGH; end 						//15
		8'd34 : begin adc_cs <= HIGH;  adc_clk <= HIGH; end
		default : begin adc_cs <= HIGH;  adc_clk <= HIGH;  end
	endcase

endmodule
3.2 数值转换模块(bin to bcd)
   将二进制数转换成BCD码的形式,采用左移加三的算法(以8’hff为例): 1、左移要转换的二进制码1位 2、左移之后,BCD码分别置于百位、十位、个位 3、如果移位后所在的BCD码列大于或等于5,则对该值加3 4、继续左移的过程直至全部移位完成。这部分也可以直接移植电子森林案例中的代码。
module bin_to_bcd					//此模块为了将ADC采样的数据转换为我们常用的十进制显示而存在
(
input						rst_n,	//系统复位,低有效
input		[15:0]			bin_code,	//需要进行BCD转码的二进制数据
output	reg	[19:0]			bcd_code	//转码后的BCD码型数据输出
);

reg		[35:0]		shift_reg; 

always@(bin_code or rst_n)begin
	shift_reg = {20'h0,bin_code};
	if(!rst_n) bcd_code = 0; 
	else begin 
		repeat(16) begin //循环16次  
			//BCD码各位数据作满5加3操作,
			if (shift_reg[19:16] >= 5) shift_reg[19:16] = shift_reg[19:16] + 2'b11;
			if (shift_reg[23:20] >= 5) shift_reg[23:20] = shift_reg[23:20] + 2'b11;
			if (shift_reg[27:24] >= 5) shift_reg[27:24] = shift_reg[27:24] + 2'b11;
			if (shift_reg[31:28] >= 5) shift_reg[31:28] = shift_reg[31:28] + 2'b11;
			if (shift_reg[35:32] >= 5) shift_reg[35:32] = shift_reg[35:32] + 2'b11;
			shift_reg = shift_reg << 1; 
		end
		bcd_code = shift_reg[35:16];   
	end  
end

endmodule
3.3 OLED 屏幕显示模块(OLED12832)
   OLED模块的实现主要是借鉴电子森林中的现有代码,只需要把需要显示的部分进行修改即可。下面展示了main函数部分,即显示的文字。电压值由bcdcode参数输入,然后分别把前四位赋给number1,2,3,4,由于显示时要求数据是8位或16位,所以把4位数据前加4位0。
MAIN:begin
						if(cnt_main >= 5'd4) cnt_main <= 5'd2;
						else cnt_main <= cnt_main + 1'b1;
						case(cnt_main)	//MAIN状态
							5'd0:	begin state <= INIT; end
							5'd1:	begin y_p <= 8'hb0; x_ph <= 8'h10; x_pl <= 8'h00; num <= 5'd16; char <= "DigitalVoltmeter";state <= SCAN; end
							5'd2:	begin y_p <= 8'hb2; x_ph <= 8'h10; x_pl <= 8'h00; num <= 5'd16; char <=   {"        ",number1,8'd46,number2,number3,number4,8'd86,"  "};state <= SCAN; end
							5'd3:	begin y_p <= 8'hb1; x_ph <= 8'h10; x_pl <= 8'h00; num <= 5'd16; char <= "Voltage:        ";state <= SCAN; end
							5'd4:	begin y_p <= 8'hb3; x_ph <= 8'h10; x_pl <= 8'h00; num <= 5'd16; char <= "----------------";state <= SCAN; end
 
							default: state <= IDLE;
						endcase
					end
3.4 数码管显示模块(Seg led)
该模块用于在数码管上显示电压值。由于板卡上只有两位数码管,所以只能显示电压值小数点后一位。这部分的代码也可以借鉴现有案例关于数码管显示的部分。
module Seg_led
(
input 		[3:0]	seg_data,	//seg_data input
input				seg_dot,	//segment dot control
output				seg_sel,	//segment com port
output reg	[7:0]	seg_led		//MSB~LSB = DP,G,F,E,D,C,B,A
);


always@(seg_data)
	case(seg_data)
		4'h0: seg_led = {seg_dot,7'h3f};  //  0
		4'h1: seg_led = {seg_dot,7'h06};  //  1
		4'h2: seg_led = {seg_dot,7'h5b};  //  2
		4'h3: seg_led = {seg_dot,7'h4f};  //  3
		4'h4: seg_led = {seg_dot,7'h66};  //  4
		4'h5: seg_led = {seg_dot,7'h6d};  //  5
		4'h6: seg_led = {seg_dot,7'h7d};  //  6
		4'h7: seg_led = {seg_dot,7'h07};  //  7
		4'h8: seg_led = {seg_dot,7'h7f};  //  8
		4'h9: seg_led = {seg_dot,7'h6f};  //  9
		4'ha: seg_led = {seg_dot,7'h77};  //  A
		4'hb: seg_led = {seg_dot,7'h7C};  //  b
		4'hc: seg_led = {seg_dot,7'h39};  //  C
		4'hd: seg_led = {seg_dot,7'h5e};  //  d
		4'he: seg_led = {seg_dot,7'h79};  //  E
		4'hf: seg_led = {seg_dot,7'h71};  //  F
		default: seg_led = {seg_dot,7'h00};
	endcase
assign seg_sel = 1'b0;

endmodule 
3.5 顶层模块(Digital Voltmeter)
   顶层模块需要把各个模块实例化,写明对应的输入输出量。中间在把ADC的采样数据传送到转码模块之前,要按规则转化成电压值,即乘0.0129。
module Digital_Voltmeter
(
input				clk,		//系统时钟
input				rst_n,		//系统复位,低有效

input				adc_dat,	//SPI总线SDA
output				adc_cs,		//SPI总线CS
output				adc_clk,	//SPI总线SCK

output  			seg1_sel,	//数码管位选
output  	[7:0]	seg1_led,	//数码管段选
output  			seg2_sel,	//数码管位选
output  	[7:0]	seg2_led,	//数码管段选

output				oled_csn,	//OLCD液晶屏使能
output				oled_rst,	//OLCD液晶屏复位
output				oled_dcn,	//OLCD数据指令控制
output				oled_clk,	//OLCD时钟信号
output				oled_dat	//OLCD数据信号
);
wire adc_done;
wire [7:0] adc_data;
//ADC功能,例化

ADS7868 u2
(
.clk				(clk			),	//系统时钟
.rst_n				(rst_n			),	//系统复位,低有效
.adc_cs				(adc_cs			),	//SPI总线CS
.adc_clk			(adc_clk		),	//SPI总线SCK
.adc_dat			(adc_dat		),	//SPI总线SDA
.adc_done			(adc_done		),	//ADC采样完成标志
.adc_data			(adc_data		)	//ADC采样数据
);

//将ADC采样数据按规则转换为电压数据(乘以0.0129),这里我们直接乘以129,得到的数据经过BCD转码后小数点左移4位即可
wire [15:0]	bin_code = adc_data * 16'd129;
wire [19:0]	bcd_code;

//将处理后的ADC数据进行BCD转码,例化

bin_to_bcd u3
(
.rst_n				(rst_n			),	//系统复位,低有效
.bin_code			(bin_code		),	//需要进行BCD转码的二进制数据
.bcd_code			(bcd_code		)	//转码后的BCD码型数据输出
);

OLED12832 u4
(
.clk                (clk            ),		//12MHz系统时钟
.rst_n	            (rst_n			),	    //系统复位,低有效
	
.bcdcode	        (bcd_code[19:0]       ),
	
.oled_clk           (oled_clk       ),
.oled_csn           (oled_csn       ),
.oled_dat           (oled_dat       ),
.oled_dcn           (oled_dcn       ),
.oled_rst           (oled_rst       )
);

//Segment led display module
Seg_led seg[1:0] 
(
.seg_data			(bcd_code[19:12]	),	//seg_data input
.seg_dot			({1'b1,1'b0}		),	//segment dot control
.seg_sel			({seg1_sel,seg2_sel}),	//segment com port
.seg_led			({seg1_led,seg2_led})	//MSB~LSB = DP,G,F,E,D,C,B,A
); 

endmodule
四、心得与体会
   通过本次“暑假一起练”项目,我对FPGA和Verilog编程有了初步的了解,动手能力和自主学习能力得到了锻炼。虽然我目前的水平还不能独立写出很复杂的代码,但是在阅读他人写好的案例的过程中,我也可以学到很多知识。电子森林中有丰富的文档和资料,希望日后能够通过进一步的学习和练习,提高自身的编程能力。
附件下载
Digital Voltmeter.zip
工程
团队介绍
北京理工大学信息与电子学院
团队成员
祖博文
北京理工大学信息与电子学院大四学生
评论
0 / 100
查看更多
目录
硬禾服务号
关注最新动态
0512-67862536
info@eetree.cn
江苏省苏州市苏州工业园区新平街388号腾飞创新园A2幢815室
苏州硬禾信息科技有限公司
Copyright © 2023 苏州硬禾信息科技有限公司 All Rights Reserved 苏ICP备19040198号